Wow, wow, wow, 3D InCites community members are going to be well represented at next week’s Electronics Components Technology Conference (ECTC) in Orlando. I have counted 24 companies with booths, and even more presenting papers. It’s going to be the best three days in packaging, components, and microelectronic systems science, technology, and education in an environment of cooperation and technical exchange. Papers will cover leading-edge developments and technical innovations across the packaging spectrum. Topics include advanced packaging, modeling and simulation, Photonics, interconnections, materials, and processing, applied reliability, assembly and manufacturing technology, components and RF, and emerging technologies. Be on the lookout for Francoise. She will be representing 3D InCites conducting Podcast interviews and reporting on the conference news and events. Members, be sure to reach out to schedule your member spotlight Podcast interview; they can be conducted at the Podcast table or your booth. Information is plentiful, so here we will highlight member company booths and presentations.

Booth 310 – Adeia

Adeia was launched as a standalone technology and intellectual property (IP) licensing company from Xperi. Adeia invents, develops, and licenses fundamental innovations that shape the way millions of people explore and experience entertainment and enhance billions of devices in an increasingly connected world.

Presentations:

Session 3: Advancements in Copper/Silicon-Oxide Hybrid Bonding

2: Fine Pitch Die-to-Wafer Hybrid Bonding

Booth 135: Ajinomoto Fine-Techno Corporation USA

Ajinomoto Fine-Techno Co., Inc. (AFT) is a subsidiary of the Ajinomoto Group responsible for the fine chemicals division. AFT (est. 1942) continues to deliver materials that can suit a wide range of customer needs in our four main strengths: molecular design, formulation, process development, and solutions.

Booth 215 Amkor Technology, Inc.

Amkor Technology is one of the world’s largest providers of high-quality semiconductor packaging and test services. Amkor’s broad package portfolio and technology leadership offer customers semiconductor and test solutions to enable 5G, AI, Automotive, Communications, Computing, Consumer, Industrial, IoT, and Networking products.

Presentations:

Session 25: Next-Generation High-Performance Computing Architectures

  1. Reliability Performance of S-Connect Module (Bridge Technology) for Heterogeneous Integration Packaging

Session 31: MEMS Sensor, Bio, and Advanced Interconnect Reliability

  1. Electromigration Performance of Fine-Line Cu Redistribution Layer (RDL) for High-Density Fan-Out Packaging

Interactive Presentations 1: Package Integrated Vapor Chamber Heat Spreaders

Booth 325 – ASE

ASE, Inc. is the leading global provider of semiconductor manufacturing services in assembly and test. In a world running on semiconductor technology to achieve lifestyle, efficiency, and sustainability goals, packaging innovation is at the heart of what ASE does. ASE today is delivering on the promise of heterogeneous integration, through advanced packaging, system-in-package, and chiplet solutions to meet growth momentum across HPC, Automotive, AI, 5G, and more.

Presentations:

Session 12: mm-Wave Antenna-in-Package and Arrays

  1. A Low-Cost Antenna-in-Package (AiP) for D-Band Application

Session 11: Additive Manufacturing and Packaging for Flexible Electronics

  1. Adhesion and Reliability Studies of the Heterogeneous Integration of Conductive LSR and Other Components on SiP for Bio-Sensing Applications

Session 14: Advances in Heterogeneous Integration Bonding Technology

  1. Low-Temperature and Pressureless Cu-to-Cu Bonding by Electroless Pd Plating Using Microfluidic System

Session 17: Advanced Reliability Modelling and Characterization

  1. A Quantitative Evaluation of the Inelastic Energy Absorptions in Cu-Polyimide Interconnect and the Effect on Interface Debond Driving Force

Session 25: Next-Generation High-Performance Computing Architectures

  1. Advanced Packaging Design Platform for Chiplets and Heterogeneous Integration

Session 27: Next Generation Wafer-to-Wafer Copper Bonding

  1. Fine-Pitch 30 µm Cu-Cu Bonding Using Electroless Nano-Ag

Session 33: Advances in RDL, Via, and TSV Technologies for Chiplet Integration

  1. Creative Design and Structure Applied to Chiplets Packaging

Session 35: Packaging and Materials for Flexible Medical Technologies

  1. Patch-Type Flex SiP Platform for Healthcare Application

Booth 406: AT&S Austria Technologie & Systemtechnik Aktiengesellschaft

AT&S is one of the globally leading manufacturers of high-end printed circuit boards and IC substrates. At its locations in Europe and Asia, AT&S develops and produces high-tech solutions for its global partners, especially for applications in the areas of communication, computer and consumer electronics, mobility, industry, and medical technology.

Presentations:

Session 13: Wafer/Panel-Level and Advanced Substrate Technologies

6. Thermal and Mechanical Characterization of Embedded PTCQ Packaging Test Chip Die

Booth 425: Atotech USA, LLC

Atotech, a brand within the Materials Solutions Division of MKS Instruments, develops leading process and manufacturing technologies for advanced surface modification, electroless and electrolytic plating, and surface finishing. Applying a comprehensive systems-and-solutions approach, the Atotech portfolio includes chemistry, equipment, software, and services for innovative and high-technology applications.

Presentations:

Session 8: Novel Reliability Test Methods

  1. A Predictive Metallographic Means to Identify the Relative Risk of Failure for Plated Micro Vias

Booth 110: Brewer Science

Brewer Science is a global leader in developing and manufacturing next-generation materials and processes that foster the technology needed for tomorrow. Since 1981, the company has expanded its technology portfolio within advanced lithography, advanced packaging, smart devices, and printed electronics to enable cutting-edge microdevices and unique monitoring systems for industrial, environmental, and air applications.

Booth 335: Cadence

Cadence is a pivotal leader in electronic systems design, building upon more than 30 years of computational software expertise. The company applies its underlying Intelligent System Design strategy to deliver software, hardware, and IP that turn design concepts into reality. With extensive advanced packaging experience, Cadence offers a comprehensive 3D-IC design solution to address the requirements for digital SoCs, analog/mixed-signal designs, and entire systems. The comprehensive solution spans integration, packaging, custom and digital implementation, verification, system analysis, and interconnect IP for chiplet-based designs.

Booth 231: Deca Technologies

Deca was born of a passion to transform the way the world builds advanced electronic devices. Its 10X thinking brought to life exciting breakthroughs with M-Series™ and Adaptive Patterning®. The flagship M-Series is a fully encapsulated wafer & panel-level fan-out technology which provides an ideal structure for single & multi-die packaging, chiplet integration, 3D PoP and embedded die interposers.

Presentations:

Session 7: Large Formfactor Dense System Integration by Fan-Out

  1. Integrating Chiplets Using Chips First Ultra-High-Density Fan-Out with Maskless Laser Direct Imaging and Adaptive Patterning for High-Performance Computing

Booth 307: ERS electronic GmbH

ERS electronic GmbH has been providing innovative thermal management solutions to the semiconductor industry for more than 50 years. The company has gained an outstanding reputation, notably with its fast and accurate air cooling-based thermal chuck systems for test temperatures ranging from -65°C to +550°C for analytical, parameter-related, and manufacturing probing.

Booth 330: EV Group, Inc.

EV Group (EVG) is a leading supplier of high-volume production equipment and process solutions for the manufacture of semiconductors, MEMS, compound semiconductors, power devices, and nanotechnology devices. A recognized market and technology leader in wafer-level bonding and lithography for advanced packaging and nanotechnology, EVG’s key products include wafer bonding, thin- wafer processing and lithography/nanoimprint lithography (NIL) equipment, photoresist coaters, as well as cleaning and inspection/metrology systems. EVG executives are presenting multiple papers highlighting the breakthrough capabilities of EVG’s hybrid bonding, LITHOSCALE® maskless lithography, and NanoCleave™ layer release technology for advanced packaging applications.

Presentations

Session 1: Heterogeneous Chiplet Integration

  1. Aggressive Pitch Scaling (Sub-0.5 μm) of W2W Hybrid Bonding Through Novel Materials and Process Innovations

Session 3, Advancements in Copper/Silicon-Oxide Hybrid Bonding

  1. Direct Die to Wafer Cu Hybrid Bonding for Volume Production

Session 27, Next Generation Wafer-to-Wafer Copper Bonding

  1. 0.5 μm Pitch Wafer-to-Wafer Hybrid Bonding With SiCN Bonding Interface for Advanced Memory

Session 28: Process Enhancements in 3D, FOWLP, and TSV Technologies

  1. Exploring Capabilities of Maskless Lithography for Dual Image Exposure in FOWLP

Session 34: Bonding Assembly – Novel Packaging, Process, and Characterization

  1. A Study of SiCN Wafer-to-Wafer Bonding and Impact of Wafer Warpage

Interactive Presentations 2

Novel IR Laser Cleaving for Ultra-Thin Layer Transfer and 3D Stacked Devices”

Special Panel Session

Dr. Thomas Uhrmann of EVG will also participate in a special session panel, “Copper Hybrid Bond Interconnections for Chip-to-Wafer Applications,” moderated by Jan Vardaman of TechSearch International, on Tue., May 30, 10:30am-12:00pm.

Booth 235: Evatec Inc.

Evatec provides PVD solutions tailored to the packaging platforms in the advanced packaging market. They combine best-in-class cost of ownership with unique technology innovations to meet today’s and future requirements. Its “wafer” platforms process up to 300mm formats designed for the highest levels of throughput support the use of long-life targets and are equipped with a unique degassing technology that achieves best-in-class contact resistance and layer uniformity performance required in WLCSP, FOWLP and 2.5D/3D devices.

Presentations:

Session 4: Assembly and Manufacturing Process Enhancement

  1. Optimum Rc Control and Productivity Boost in Wafer-Level Packaging Enabled by High-Throughput UBM/RDL Technology

Booth 209: Finetech

Finetech’s high‐accuracy die bonding equipment supports the most precise and complex applications in advanced packaging, die-attach, and micro-assembly. Sub-micron placement is possible with an extensive range of bonding technologies including thermo-compression, ultrasonic, eutectic, epoxy, sintering, ACF/ACP, Indium, and precision vacuum die bonding.

Booth 427: Henkel Corporation

Henkel is the premier materials supplier for the electronics assembly and semiconductor packaging industries. Its advanced formulations include a range of products that facilitate electrical interconnect, provide structural integrity, offer critical protection, and transfer heat for reliable performance

Booth 103: Indium Corporation

Since the company’s founding in 1934, Indium Corporation® has been driven by its curiosity to look at materials from a different perspective – transforming the ordinary into the unexpected. Indium Corporation® is a premier materials refiner, smelter, manufacturer, and supplier to the global electronics, semiconductor, thin-film, and thermal management markets.

Presentations:

Session 16: Sintering and Soldering for High-Power, High-Reliability, and RF Devices

  1. A Bismuth-Free In-Containing Lower-Temperature Lead-Free Solder Paste for Wafer-Level Package Application that Outperforms SAC305

Booth 430: KLA Corporation

KLA Corporation develops industry-leading equipment and services that enable innovation throughout the electronics industry. The company provides advanced process control and process-enabling solutions for manufacturing wafers and reticles, integrated circuits, packaging, printed circuit boards, and flat panel displays for the semiconductor and related nanoelectronics industries.

Booth 134: Koh Young Technology, Inc.

Koh Young revolutionized inspection by launching the industry’s first 3D SPI & AOI and became the leader in measurement-based solutions with over 3,500 users and over 20,000 installations. Using its True3D and Artificial Intelligence (AI) technologies, Koh Young developed award-winning solutions for SMT, THT, machining, pins, and coating applications, plus advanced packaging and semiconductor inspection challenges.

Booth 402: LPKF Laser & Electronics

LPKF Laser & Electronics is a leading supplier of laser-based solutions for the technology industry. Its laser systems are vital in the manufacturing of printed circuit boards, microchips, automotive parts, solar panels and many other components. Reduce stress and increase yield with LPKF Laser Depaneling Systems, create PCB prototypes in minutes with LPKF Rapid Prototyping Equipment, fabricate world-class SMT solder stencils with the StencilLaser systems, or reduce assembly time and deliver higher profits with LPKF Laser Plastic Welding systems.

Booth 222: Micross Components

Micross is the most complete provider of advanced microelectronic services and component, die and wafer solutions. With the broadest authorized access to die & wafer suppliers, and the most comprehensive advanced packaging, assembly, modification and test capabilities, Micross is uniquely positioned to provide unparalleled high-reliability solutions from bare die, to fully packaged devices, to complete program lifecycle sustainment. Micross be showcasing its advanced 3D & Heterogeneous Integration and capabilities, along with the most comprehensive portfolio of microelectronic services.

Booth 224: NAMICS Technologies, Inc.

NAMICS is a global technology leader in advanced materials for semiconductor devices and packages, passive components, and solar cells with over 75 years of experience and expertise. Its diverse product line of materials includes packaging and board level underfills, liquid molding compounds, glob top encapsulants, pressure-less sintering technology for die attach adhesives for sensor and camera modules and latest generation of stretchable printed materials for interconnects, heating, and bonding on flexible substrates.

Presentations:

Session 2: High-Performance Packaging Materials

  1. Novel High Reliability and Low Dk/Df Dielectric RDL Material for High Frequency 5G Applications

Session 30: Trends in Encapsulants and Low Dk/Df Dielectrics

  1. Liquid Compression Mold Underfill Optimization with Low Warpage and Narrow Gap Flow

Booth 106: Onto Innovation

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging.

Booth 344: Plan Optik AG

Plan Optik AG is the leading manufacturer of structured wafers when it comes to technology. In sectors such as consumer electronics, automotive, aerospace, chemistry, and pharmaceuticals these wafers are essential components for numerous applications in MEMS technology. The wafers of glass, glass-silicon compounds or quartz are available in sizes up to 300 mm in diameter.

Booth 337: QP Technologies

QP Technologies (now Promex), offers a range of services to meet packaging and assembly requirements. These include wafer preparation (backgrinding, dicing, die sort, and inspection); IC assembly for a variety of package types and materials; as well as die attach, wire bonding, flip chip, encapsulation, and marking; advanced assembly for new and complex packaging structures; laser micromachining; and design and engineering. In addition, the company supports the design, fabrication, and assembly of PCBs for MCM and SiP applications.

Presentations

Special Session:

CEO Dick Otte will be part of a special panel discussion, “Advanced Packaging Manufacturing in North America: Building the Ecosystem.” This session will be held Tuesday, May 30, 3:30–5:00 PM.

Booth 436: TechSearch International Inc.

TechSearch International, Inc. has a 31-year history of market and technology trend analysis focused on semiconductor packaging, materials, and assembly. Research topics include WLP, FO-WLP and panel-level processing, Flip Chip, CSPs, BGAs, 3DICs, Si Interposers, System-in- Package (SiP) and Heterogeneous Integration, embedded components, ADAS and automotive electronics, and power devices.

Other Notable Appearances:

2023 ECTC Special Session on Hybrid Bonding Copper Hybrid Bond Interconnections for Chip-to-Wafer Applications
Tuesday, May 30, 2023, 10:30 a.m. – 12:00 p.m.
Chairs: Thomas Gregorich, Infinera, and Chaoqi Zhang, Qualcomm

Palazzo D
This Special Session will explore the applications, requirements, and challenges of
Copper Hybrid Bonds (CHB) for Chip-to- Wafer (C2W) applications. Wafer-to-wafer
CHB has been in HVM for many years and continues to expand. While C2W is in production, challenges remain. This panel will discuss challenges and solutions for the expanded use of C2W Copper Hybrid Bonds.

The session will include a moderator and speakers, each with a 10-minute presentation followed by a joint 20-minute Q&A session. Jan Vardaman, TechSearch International; Eric Beyne, IMEC; Xavier Brun, Intel:

2023 ECTC Special Session on CHIPS Act
Advanced Packaging Manufacturing in North America: Building the Ecosystem
Tuesday, May 30, 2023, 3:30 p.m. – 5:00 p.m.
Chairs: Nancy Stoffel, GE Research, Jan Vardaman, TechSearch International, and William Chen, ASE

Palazzo D
North America has companies that excel in design for electronics systems, devices, and advanced packaging. However, less than 2% of the packaging occurs in the US. This session will discuss the ambitious goals being set through the CHIPS ACT to bring Advanced Packaging to North America. We will review the targets and developing plans of the US government, funded through the CHIPS Act. The panelists will overview major initiatives launched in R&D and Manufacturing. We will also discuss the challenges to meeting the goals.

2023 ECTC Heterogeneous Integration Roadmap (HIR) Workshop
Tuesday, May 30, 2023, 8:00 a.m. – 4:30 p.m.
Chairs: William Chen – ASE, Bill Bottoms – 3MTS, and Ravi Mahajan – Intel

Palazzo E
Heterogeneous Integration uses packaging technology to integrate dissimilar chips, devices, or components with different materials and functions, and from different fabless design houses, foundries, wafer materials, feature sizes, and companies into a system or subsystem. 23 Technical working groups will present their areas of expertise.

Best Session Paper
Advanced Fan-Out Packaging Technology for Hybrid Substrate Integration Lihong Cao, Teck Chong Lee, Rick Chen, Yung-Shun Chang, Hsingfu Lu, Nicholas Chao, Yen-Liang Huang, Chen-Chao Wang, and Chih-Yi Huang – ASE Group

Trine Pierik

Trine Pierik is the 3D InCites community membership director. She is responsible and committed to…

View Trine's posts

Become a Member

Media Kit

Login