The Electronic Components and Technology Conference (ECTC) is the premier international event that brings together the best in packaging, components and microelectronic systems science, technology and education in an environment of cooperation and technical exchange. About 1,500 professionals from the global microelectronics packaging industry are set to gather next week in San Diego for four consecutive days for the 72nd ECTC Conference, this year packed with 36 technical track sessions and 16 professional development courses. ECTC also features four interactive presentation sessions and one student poster session for technical papers, including a plenary and special session featuring industry experts discussing technology status and roadmaps in key areas of interest.

Many of our 3D InCites Community Members will be in attendance, as both exhibitors and speakers. Steffen Kroehnert of ESPAT Consulting is a member of the technical committee, sub-committee Packaging Technologies and will co-chair session #31 Fan-Out Packaging Technologies and Applications on Friday, June 3, 1:30PM – 5:10PM. Jan Vardaman of TechSearch International will be chairing a Special Session: Meeting Next Generation Packaging Challenges: Chiplets to Co-Package Optics, May 31st, 1:30-3p.m. Look for Francoise von Trapp who will also be on site all week conducting podcast interviews. If you would like to schedule an interview or sponsor a show podcast, reach out via email to trine@3dincites.com. Francoise will also be moderating a special session:

2022 ECTC/ITherm Diversity and Career Growth Panel
Solving Diversification Challenges and Workforce Retention

Wednesday, June 1, 2022, 6:30 p.m. – 7:30 p.m

  • Antoinette Hamilton, Head of DEI Lam Research
  • Bina Hallman, VP IBM System Client Advocacy and Head of D&I System business
  • KT Moore, VP Corporate Marketing at Cadence
  • Najwa Khazal, General Manager, Service Technology Centres Americas, Edwards

Co-Chairs: Kimberly Yess, Brewer Science/ECTC, Cristina Amon, iTherm
Moderator: Francoise von Trapp – 3D InCites

Member Booths

Booth 703
Adeia (Xperi)

Adeia is the newly launched brand for the intellectual property (IP) licensing business of Xperi Holding Corporation. Adeia invents, develops and licenses fundamental innovations that shape the way millions of people explore and experience entertainment and enhance billions of devices in an increasingly connected world. Adeia develops industry-leading 3D integration solutions such as hybrid bonding that meet the demand for greater functionality, higher performance and smaller size for next generation electronics

Booth 403
Amkor Technology, Inc.

Amkor Technology, Inc. is one of the world’s largest providers of high-quality semiconductor packaging and test services. Amkor’s broad package portfolio and technology leadership offers customers semiconductor and test solutions to enable 5G, AI, Automotive, Communications, Computing, Consumer, Industrial, IoT and Networking products. Services include package design and development, wafer probe and package test, wafer bumping and redistribution, package assembly and final test. Amkor representatives are delivering six papers on various topics:

  •  A Hybrid Panel Level Technology (HPLT) Based on a 650 mm x 650 mm Platform — Eoin OToole, Amkor Technology Portugal
  • Thermal Performance of Advanced TIM for High Power FCBGA — YoungJoon Koh, Amkor Technology Korea
  • Next Gen LAB (Laser Assisted Bonding) Technology — Seokho Na, Amkor Technology Korea
  • Optimization of Temporary Carrier Technology for HDFO Packaging — JinKun Yoo, Amkor Technology Korea
  • 3D Embedded Power Package Solution to Integrate Various Power Systems — Byongjin Kim, Amkor Technology Korea
  • S-SWIFT® with Fine Pitch Embedded Trace RDL. — SangHyun Jin, Amkor Technology Korea

 

Booth 112/114
ASE Group

ASE Group is the leading global provider of semiconductor manufacturing services in assembly and test. In a world increasingly reliant on semiconductor technology to achieve evolving lifestyle, efficiency and sustainability goals, innovation is at the heart of what ASE does. Alongside a broad portfolio of established assembly and test technologies, ASE is delivering innovative heterogeneous integration, advanced packaging, and chiplet solutions to meet growth momentum across a broad range of applications, including 5G, Automotive, AI, HPC, and more.

Booth 514
Atotech

Atotech is a leading specialty chemicals technology company and a market leader in advanced electroplating solutions. Atotech delivers chemistry, equipment, software, and services for innovative technology applications through an integrated systems-and-solutions approach. Atotech solutions are used in a wide variety of end- markets, including smartphones and other consumer electronics, communications infrastructure, and computing, as well as in numerous industrial and consumer applications such as automotive, heavy machinery, and household appliances.

Featured Speakers:
ECTC Special Session: How will IC Substrate technology evolve to enable next generation heterogeneous integration schemes for high-performance applications?  — Mr. Kuldip Johal, Global OEM Pathfinding Director.
Tuesday, May 31 3:30-5p.m.

Impact of the final finish on the solder joint reliability and IMC formation after thermal storage — Dr. Britta Schafsteller.
Wednesday, June 1, 2:20 p.m.

Plating and recrystallization of galvanic Cu films on roll annealed and polycrystalline Cu foils and the effect of intermediate electroless Cu layers — Dr. Tobias Bernhard.
Friday, June 3, 3:30 p.m.

Booth 614
Cadence Design Systems

Cadence is a pivotal leader in electronic design, building upon more than 30 years of computational software expertise. Its underlying Intelligent System Design strategy helps deliver software, hardware, and IP that turn design concepts into reality. Its customers are the world’s most innovative companies, delivering extraordinary electronic products from chips to boards to systems for the most dynamic market applications, including consumer, hyperscale computing, 5G communications, automotive, mobile, aerospace, industrial, and healthcare.

Booth 214
ClassOne Technology

Headquartered in Kalispell, Montana, ClassOne Technology has become a preferred provider of advanced wet-chemical wafer processing equipment for ≤200mm semiconductor wafers. The Solstice® series performs high- performance single-wafer electrochemical deposition (ECD) and wafer surface preparation processes. The Solstice platform can be configured with up to eight processing chambers to cover process development as well as low-, medium-, and high-volume production. The Trident™ series comprises an array of high-efficiency, highly dependable spin-rinse-dryers, and spray solvent tools for batch-processing. The company brings high-performance processing technology to compound semiconductors and key markets
including photonics, power, 5G, microLED and MEMS. Hundreds of ClassOne tools are presently in use building leading-edge devices in major fabs and research facilities around the world.

Booth 503
Deca Technologies

Deca was born of a passion to transform the way the world builds advanced electronic devices. In its first decade, the 10X thinking brought to life exciting breakthroughs with M-Series™ and Adaptive Patterning®. As a pure-play technology development, transfer and licensing company, Deca is the leading independent provider of advanced packaging technology in the semiconductor industry. Its flagship M-Series is a fully encapsulated wafer & panel-level fan-out technology which provides an ideal structure for single & multi- die packaging, chiplet integration, 3D PoP and embedded die interposers. M-Series is delivering exceptional quality and reliability for leading Smartphone manufacturers around the globe with shipment volumes exceeding one billion units per year.

Booth 704
Evatec

Evatec provides PVD solutions that are tailored to the advanced packaging market combining best in class cost of ownership with unique technology innovations to meet up with todays and future requirements. Wafer platforms that process up to 300mm formats feature highest levels of throughput, support the use of long-life targets and are equipped with a unique degassing technology that achieves best in class contact resistance and layer uniformity performance required in WLCSP, FOWLP and 2.5D/3D devices. FOPLP applications and next generation IC substrate technologies are supported by Evatec`s market leading CLUSTERLINE® 600 equipment platform. The panel focused PVD equipment platform is capable of processing substrate sizes up to 650 x 650 mm, delivering highest levels in outgassing performance, layer adhesion and stack uniformity. In EMI shielding of chips on a package level, Evatec offers production solutions with the step coverage, film adhesion and low process temperatures required to protect the chip effectively at high throughput.

Booth 602
EV Group, Inc.

EV Group (EVG) is a leading supplier of high-volume production equipment and process solutions for the manufacture of semiconductors, MEMS, compound semiconductors, power devices and nanotechnology devices. A recognized market and technology leader in wafer-level bonding and lithography for advanced packaging and nanotechnology, EVG’s key products include wafer bonding, thin- wafer processing and lithography/nanoimprint lithography (NIL) equipment, photoresist coaters, as well as cleaning and inspection/metrology systems.

Featured Technical Papers:
Lossless High-speed Silicon Photonic MZI Switch with a Micro-Transfer-Printed III-V Amplifier (Session 10, Novel Photonics Packaging Technology – Wed., June 1, 4:45pm)

Optimization of PI and PBO Layers Lithography Process for High Density Fan-Out Wafer Level Packaging and Next Generation Heterogeneous Integration Applications Employing Digitally Driven Maskless Lithography (Session 34, Processing Enhancements in Fan-Out and Heterogeneous Integration – Fri., June 3, 1:55pm)

Booth 307
Finetech

From Prototype to Production, Finetech supplies sub-micron accuracy die bonders for die attach, advanced packaging, and micro assembly applications. Manual, motorized, and automated models provide high process flexibility within one platform utilizing a modular, flexible design. Bonding technologies include thermo-compression, ultrasonic, adhesive, eutectic, sintering, ACF/ACP, Indium, flip chip and precision vacuum die bonding. Application areas cover photonics assembly, optical packages, sensors, Si photonics, microLEDs, focal plane arrays, chip-on-glass, chip-on-flex, MEMs/MOEMs and more.

Booth 504
Henkel Corporation

Henkel is the premier materials supplier for the electronics assembly and semiconductor
packaging industries. Its advanced formulations include a range of products that facilitate electrical interconnect, provide structural integrity, offer critical protection, and transfer heat for reliable performance. Henkel’s total solutions approach leverages extensive global resources to deliver superior semiconductor packaging material technologies and cost-competitive performance. From die attach adhesives used in traditional wirebond packaging to advanced underfills and encapsulants for advanced packaging applications, Henkel provides the cutting-edge materials technology and global support top microelectronics companies require.

Booth 107
Indium Corporation

Indium Corporation is a premier materials refiner, smelter, manufacturer, and supplier to the global electronics, semiconductor, thin-film, and thermal management markets. Products include solders and fluxes; brazes; thermal interface materials; sputtering targets; indium, gallium, germanium, and tin metals and inorganic compounds; and NanoFoil®. Founded in 1934, the company has global technical support and factories located in China, Germany, India, Malaysia, Singapore, South Korea, the United Kingdom, and the US.

Featured Presentation:
Optimizing Reflowed Solder TIM Processes for Emerging Heterogeneous Integrated Packages — Principal Engineer and Manager for TIMs Applications, Andy Mackie, Ph.D.

Booth 106
KLA Corporation

KLA Corporation is a leading supplier of wafer processing, process control and yield management solutions for the semiconductor and related nanoelectronics industries. KLA’s products and services are used by bare 33 wafer, IC, reticle and other manufacturers of materials and equipment around the world, from research and development to final volume manufacturing. Products and services include etch and deposition processes, inline unpatterned and patterned wafer defect inspection, review and classification; reticle defect inspection and metrology; packaging inspection and die sort; critical dimension (CD) metrology; pattern overlay metrology; film thickness, surface topography and composition measurements; measurement of in-chamber process conditions; wafer shape and stress metrology; computational lithography tools; and, overall yield and fab-wide data management and analytics.

Booth 709
Micro Systems Technologies

Micro Systems Technologies (MST) is a leading manufacturer of high-performance electronic components, semiconductor packaging and microelectronics for medical technology, complemented by a wide range of product lifecycle management services. The group develops and produces high-technology solutions for customers around the world, especially for applications in the fields of Life Sciences and Healthcare, Aerospace & Aviation, Internet-of-Things and Sensor technology, as well as Hi-Rel industrial electronics.

Booth 314
Micross Components

Micross is the most complete provider of advanced microelectronic services and component, die and wafer solutions. With the broadest authorized access to die & wafer suppliers, and the most comprehensive advanced packaging, assembly, modification and test capabilities, Micross is uniquely positioned to provide unparalleled high-reliability solutions from bare die, to fully packaged devices, to complete program lifecycle sustainment. For more than 40 years, Micross has been a trusted source for the aerospace, defense, space, medical and industrial markets.

Booth 613
MRSI Systems, Mycronic Group

MRSI Systems (Mycronic Group) is the leading manufacturer of fully automated, high-speed, high-precision and flexible eutectic and epoxy die bonding systems. We offer solutions for research and development, low-to- medium volume production, and high-volume manufacturing of photonic devices such as lasers, detectors, modulators, AOCs, WDM/ EML TO-Cans, Optical transceivers, LiDAR, VR/AR, sensors, and optical imaging products. With 30+ years of industry experience and our worldwide local technical support team, we provide the most effective systems and assembly solutions for all packaging levels including chip-on-wafer (CoW), chip-on-carrier (CoC), PCB, and gold-box packaging.

Booth 405
NAMICS Technologies, Inc.

NAMICS is a global technology leader of advanced materials for semiconductor devices and packages, passive components, and solar cells with over 75 years of experience and expertise. Headquartered in Niigata, Japan, NAMICS serves its worldwide customers with subsidiaries in the USA, Europe, Taiwan, Singapore, Korea, Hong Kong, and China providing unmatched worldwide support. Stop booth #405 to learn about NAMICS diverse product line of materials such as our packaging and board level underfills, liquid molding compounds, glob top encapsulants, pressure-less sintering technology for die attach, adhesives for sensor and camera modules and latest generation of stretchable printed materials for interconnects, heating, and bonding on flexible substrates.

Booth 102
Onto Innovation

Onto Innovation is a leader in process control with leading-edge technologies that include: Unpatterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging.

Featured Speakers:
John Chang, Sr. Applications Engineer
Analysis of Pattern Distortion by Panel Deformation and Addressing it by Using Extremely Large Exposure Field Fine-Resolution Lithography
Program Session 34: Processing Enhancements in Fan-Out and Heterogeneous Integration
Friday, June 3, 2022, 2:20 PM

Mike Rosa, Chief Marketing Officer & SVP of Strategy
Plenary Session
Digital Transformation – The Cornerstone of Future Semiconductor and Advanced Packaging Growth
Wednesday, June 1, 2022, 7:45 – 9:15 PM

Booth 508
QP Technologies

QP Technologies offers a range of services to meet packaging and assembly requirements. These include wafer preparation (backgrinding, dicing, die sort and inspection); IC assembly for a variety of package types and materials, as well as die attach, wire bonding, flip chip, encapsulation and marking; advanced assembly for new and complex packaging structures; laser micromachining; and design and engineering. Its line of custom air-cavity QFNs, called Open-molded Plastic Packages (OmPP™). OmPPs come in a variety of sizes and are ideal for prototype or small- to-mid-volume applications. Tested to RF frequencies of 40+GHz, OmPPs are a robust, less costly alternative to industry-standard ceramic packaging.

Booth 312
TechSearch International, Inc.

TechSearch International, Inc. has a 34-year history of market and technology trend analysis focused on semiconductor packaging, materials, and assembly. The company is able to provide market and technical analysis identifying key inflection points in the semiconductor packaging area. TechSearch International is known worldwide for its timely, relevant, and accurate analysis. Research topics include WLP, FO-WLP, Flip Chip, CSPs, BGAs, 3DICs, IC package substrates and materials, System-in- Package (SiP) and Heterogeneous Integration, ADAS and automotive electronics. TechSearch International professionals have an extensive network of more than 21,000 contacts in North America, Asia, and Europe.

Booth 702
YES (Yield Engineering Systems, Inc.)

Yield Engineering Systems, Inc. (YES) is a preferred provider of material modification and surface enhancement solutions at the nanoscale. The company’s renowned vacuum curing technology has been selected by the world’s largest IDMs, foundries and OSATs for its ability to improve process speed, reliability, and cost-effectiveness. YES thermal processing equipment addresses process temperature requirements from 100°C to 1200°C using convective, radiative, infrared and UV sources, for wafer and panel substrates. YES’s coating systems enable life science applications in genetic testing and the identification of infectious agents like SARS-CoV-2, as well as providing key process technology for optics, AR/VR and nanoimprint lithography. And the YES-SPEC product line offers industry-leading wet process performance, including electroless and electrolytic plating.

3D InCites Podcast Opportunity

If you would like to participate in our Member Round-up podcast episode be sure to send us an email or stop by the 3D InCites table at ECTC.  There is also the opportunity to have a an exclusive sponsored episode 20-30 minutes, speakers and topic of your choice. 3D InCites will host and handle production and promotion. $1000/episode  – more details here. https://media.3dincites.com/view/409411858/

 

Trine Pierik

Trine Pierik is the 3D InCites community membership director. She is responsible and committed to…

View Trine's posts

Become a Member

Media Kit

Login