SEMICON Europa is back! On the agenda? The latest innovations and smart applications powering the next wave of semiconductor industry growth, including leading-edge technologies such as artificial intelligence (AI), 5G and robotics.

SEMICON Europa 2021, co-located with Productronica, will examine how the semiconductor industry can integrate environmental and social sustainability into its growth strategy to help transform Europe into a sustainable, connected digital hub that enables life-changing applications for current and future generations. The event will also highlight process, materials and technology innovations that make it possible for chipmakers to implement cutting-edge 3D packaging for a broad range of new application-specific technologies.

While, Francoise and I had high hopes of participating in person, it will be our friend and colleague Steffen Kroehnert in attendance on behalf of 3D InCites, and many of our 3D InCites Community Members will be exhibiting and/or presenting.

Here’s a quick overview preview from our Community Members:

ACM Research, Inc. provides wet processing technology, systems, and key manufacturing products targeted to a range of semiconductor IC manufacturing and wafer-level packaging applications. The company specializes in developing cleaning technologies for advanced semiconductor device manufacturing.

To address increasing challenges in defect reduction that plagued emerging generations of IC chips, ACM Research developed its innovative single-wafer cleaning equipment, which features the company’s proprietary Space Alternated Phase Shift (SAPS™) and Timely Energized Bubble Oscillation (TEBO™) megasonic cleaning technologies. In particular, ACM’s revolutionary TEBO technology is enabling future generations of semiconductor capability. These capabilities are delivered on high-throughput chamber and platform designs.

  • ERS Electronic
  • Booth: B1739

ERS has been supplying innovative thermal test solutions to the semiconductor industry since 1970 and is famous for fast-ramping and precise low-noise thermal systems (-65°C to +400°C) for analytical, parametric and wafer sort probing up to 300mm.  The patented ERS flagship product for hot/cold wafer test, AC3, is available for package-level tri-temp applications for MEMS test.  ERS designs and builds stand-alone thermal-forcing systems and custom production tools for difficult thermal applications. ERS also supplies the advanced wafer level packaging market with its fully automatic debonders and warpage adjust tools used in the production of both 200mm and 300mm eWLB device packages. On a broader scale, ERS supports not only eWLB but many other Fan-Out Wafer-Level-Package (FOWLP) technologies.  ERS has its headquarters, sales department, engineering center and production facilities in the Munich suburb of Germering, and has support offices in the US, Singapore and Taiwan.

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, nanotechnology and bio-/medical devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL) and metrology equipment, as well as photoresist coaters, cleaners and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners, with more than 1100 employees worldwide and fully-owned subsidiaries in the U.S., Japan, South Korea, China and Taiwan. More information is available at www.EVGroup.com.

Home of the BAK and CLUSTERLINE® families, Evatec delivers complete thin film production solutions for Advanced Packaging, Semiconductor, Optoelectronics and Photonics. We offer a range of batch, cluster and inline deposition systems according to customer throughput, process requirements and fab integration requirements. Evatec’s Advanced Process Control (APC) technologies set new standards in process control through “in situ” capability for control of mechanical, optical and electrical properties during the deposition cycle. Use our “in situ” techniques to reduce your process development times, enhance your yields or increase tool throughput.

Visit our booth to hear about our new CLUSTERLINE® BMD for the deposition of high performance soft magnetic layers required for integrated voltage regulators on 300mm, get the latest news on solutions for production of Micro LED or take a tour of our company and capabilities using the VR goggles.

  • FormFactor FRT Metrology
  • Booth B1417

FormFactor FRT Metrology offers a comprehensive range of fully automated, SEMI-compliant optical surface measuring systems. SurfaceSens technology has been designed to achieve superior information about the measured sample and greater insights about the products quality. The flexible sensor set-up facilitates versatile results about several surface parameters, such as topography, roughness, TTV bow and warp, flatness, coplanarity, sample and layer thickness, and many others. More than 500 reputable international companies from the semiconductor, MEMS, optics, photovoltaic and other industries equip their R&D and high-volume production with FRT Metrology systems. FRT Metrology operates from Bergisch Gladbach, Germany and is part of FormFactor since October 2019.

KLA’s comprehensive portfolio of SensArray® products (booth B1267) enables in situ monitoring of process tools’ environments. With wired and wireless sensor wafers and reticles, an automation package and data analysis systems, SensArray® products provide comprehensive information for a wide range of wafer and reticle processes. Wafer process equipment manufacturers, IC manufacturers and reticle manufacturers use SensArray® data to visualize, diagnose and control process conditions

  • Onto Innovation
  • Booth: B1119

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Unpatterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. Our breadth of offerings across the entire semiconductor value chain helps our customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization. Additional information can be found at www.ontoinnovation.com.

Plan Optik AG is the leading manufacturer of structured wafers when it comes to technology. In sectors such as consumer electronics, automotive, aerospace, chemistry and pharmaceuticals these wafers are essential components used as active elements for numerous applications in MEMS technology. The wafers of glass, glass-silicon compounds or quartz are available in sizes up to 300 mm diameter. Wafers by Plan Optik provide high-precision surfaces. Plan Optik wafers are available to minimum tolerances with application-specific structuring and complex material combinations.

Plan Optik AG’s extensive experience in the integration of optical, electronic or chemical functions within a wafer as the basis of MEMS applications has made the company the preferred partner of large international manufacturers. Based on Plan Optik’s expert knowledge the wafers are developed in collaboration with customers such as OSRAM, Infineon, Motorola, Samsung, Honeywell and Bosch.

SPTS Technologies,  KLA company, designs, manufactures, sells, and supports advanced etch, PVD, CVD and MVD wafer processing equipment and solutions for the global semiconductor and micro-device industries, with focus on the Advanced Packaging, MEMS, high speed RF device, power and photonics markets.

  • SurplusGLOBAL
  • Booth: B1454

SurplusGLBOAL, Inc., a global leader in the secondary semiconductor equipment market, currently has over a thousand of equipment in our inventory. SurplusGLOBAL provides integrated online/offline marketing services and has customers in more than 40 countries.

Services: Secondary Equipment Trading, Reconfiguration Refurbishment, Remarketing/Auction, Rental, Valuation

Main Items: Front-End Semiconductor Thin Film PVD, CVD Diffusion Furnace, implanter Photo Stepper, Scanner, Coater, Developer Etch Echer, Asher, CMP Wet Wet Bench Metrology CD-SEM, Surfscan, Overlay, Wafer inspection Back-End Semiconductor: ATE, Package, SMT

  • Trymax Semiconductor Equipment
  • Booth B1351

Trymax Semiconductor Equipment is an innovative plasma-based company designing, manufacturing and marketing state of the art equipment solutions for ashing, descum, surface preparation, light etching as well as UV photoresist curing and charge erase. With a product portfolio ranging from 100mm wafer size to 300mm and an installed base of 250+ systems, Trymax has significant market shares at foundries, IDMs, and Wafer Level packaging houses for end applications in Power Semiconductors, RF, Analog, Automotive, MEMS, LED, and CMOS. Recognized for its reliability, low cost of ownership, and performances, Trymax continuously innovates to support its customer’s needs. Trymax is headquartered in the Netherlands and operates local offices in Italy and China.

Executive Forum

Tuesday, November 16 | 10:00 am – 3:40 pm

Hall B2

European Ecosystems Connecting the Digital Future

The program offers insight into market trends and opportunities of Europe’s industry. At the Executive Forum, global executive leaders offer inspiration and share their perspective of the industry on a variety of level. This forum sets the scene and fosters discussions of urgent and emerging topics that will be addressed throughout the SEMICON week.

SESSION 3: EMPOWERING EUROPEAN MANUFACTURING LEADERSHIP

1:40 pm – 2:40 pm

Challenges and Opportunities in Semiconductor Packaging

Oreste Donzella, Executive Vice President, KLA Corporation

 

Advanced Packaging Conference (APC)

Thursday, November 18 | 10:00 am – 6:00 pm

Executive Forum, Hall B2

 

SESSION 1: MARKET OVERVIEW AND PACKAGING TRENDS

Welcome, Steffen Kroehnert, ESPAT-Consulting (Chair)

Mike Kelly, Vice President Advanced Package & Technology Integration, Amkor Technology, Inc.

SESSION 2: MATERIAL TECHNOLOGIES

New Material Development for Advanced Packaging

Kimberly Arnold, Chief Development Officer, Brewer Science

Advanced Materials and Interconnection Technologies for Highly Miniaturized IoT Modules

Manuel Martina, Head of Strategy, Micro Systems Technologies

Packaging innovation in the Era of Heterogeneous Integration

Bradford Factor, Director, Technology Strategies, ASE, Inc

SESSI0N 3: PROCESS TECHNOLOGIES

Opening Remarks by Session Chair, Roland Rettenmeier, Product Marketing and Business Development, Evatec

The Pivotal Role of Uniformity of Electrolytic Deposition Processes to Improve the Reliability of Advanced Packaging

Ralf Schmidt, R&D Manager Semiconductor, Atotech

Trine Pierik

Trine Pierik is the 3D InCites community membership director. She is responsible and committed to…

View Trine's posts

Become a Member

Media Kit

Login