SEMICON Taiwan is arguably the most influential semiconductor event in Taiwan, reaching records high in terms of scale in its 27 years of history, attracting 700 exhibitors, using a total of 2,450 booths, and organizing more than 20 international forums. This year it will spotlight eight key industry themes: advanced manufacturing, heterogeneous integration, compound semiconductors, auto chips, smart manufacturing, sustainability, semiconductor cybersecurity, and workforce development.

If you are looking for our 3D InCites community members, their booths, and/or where and when they are speaking, here is your quick, go-to resource.

ACM Research (Shanghai), Inc

  • Booth: K2290

ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and vertical furnace processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield.

Atotech Taiwan Ltd

  • Booth: K2270

Atotech, a brand within the Materials Solutions Division of MKS Instruments, develops leading process and manufacturing technologies for advanced surface modification, electroless and electrolytic plating, and surface finishing. Applying a comprehensive systems-and-solutions approach, the Atotech portfolio includes chemistry, equipment, software, and services for innovative and high-technology applications. These solutions are used in a wide variety of end-markets, including datacenter, consumer electronics and communications infrastructure, as well as in numerous industrial and consumer applications such as automotive, heavy machinery, and household appliances.

Brewer Science, Inc.

  • Booth: K2470

Brewer Science is a global technology leader in developing and manufacturing innovative materials, and processes for the fabrication of semiconductors and microelectronic devices. Its line of products stretches across the whole spectrum of lithography wavelengths and is the most comprehensive product lineup in the industry. Its portfolio includes materials from ARC® and multilayer systems, to advanced materials for DSA and EUV processes. Its proven temporary wafer bonding systems are designed to fit into a variety of process scenarios with minimal disruption. We offer material for low-volume R&D environments, and for fully automated solutions for higher-volume needs.

ERS Electronic GmbH

  • Booth: K2668

ERS electronic GmbH, based in the Munich suburb of Germering, has been providing innovative thermal management solutions to the semiconductor industry for more than 50 years. The company has gained an outstanding reputation, notably with its fast and accurate air cooling-based thermal chuck systems for test temperatures ranging from -65 °C to +550 °C for analytical, parameter-related and manufacturing probing.

In 2008, ERS extended its expertise to the Advanced Packaging market. Today, their fully automatic and manual debonding and warpage adjust systems can be found on the production floors of most semiconductor manufacturers and OSATs worldwide. The company has received widespread recognition in the industry for their ability to tackle complex warpage issues that arise in the Fan-out wafer-level packaging manufacturing process. Its headquarter, sales department, engineering center and production facilities are in the Munich suburb of Germering, and we also have sales and support offices worldwide.

Evatec AG

  • Booth: M0734

Evatec delivers thin film production solutions for Advanced Packaging, Semiconductor, Optoelectronics and Photonics, offering a range of batch, cluster and inline deposition systems according to customer throughput, process requirements and fab integration requirements.  Evatec’s innovative source designs combined with Advanced Control (APC) technologies set new standards in process control through “in situ” capability for control of film properties during the deposition cycle.

Visit the Evatec booth this year to hear all the latest updates in thin film production solutions :

  • With more process capability, more flexibility and more throughput the new generation of HEXAGON simply gives you MORE .  Learn how the new HEXAGON is the perfect choice for fan-out wafer level packaging (FOWLP) and Wafer Level Chip Scale (WLCSP) applications
  • See how Evatec thin film solutions on SiC and GaN are helping customers “power ahead” in Wide Bang Gap power applications
  • Hear how our thin film solutions for precision optical layers are enabling manufacturing costs to be driven down for  Augmented Reality, Edge Emitting Lasers (EELs) and MicroLED
  • Learn how Evatec thin film production solutions for Through Glass Via (TGV) for Advanced IC substrate applications enable seed layer deposition with excellent adhesion at both wafer and panel level

Indium Corporation

  • Booth: I2630

Indium Corporation® is a premier materials refiner, smelter, manufacturer, and supplier to the global electronics, semiconductor, thin-film, and thermal management markets. Products include solders and fluxes; brazes; thermal interface materials; sputtering targets; indium, gallium, germanium, and tin metals and inorganic compounds; and NanoFoil®. Founded in 1934, the company has global technical support and factories located in China, Germany, India, Malaysia, Singapore, South Korea, the United Kingdom, and the U.S.

KLA

  • Booth: M0248 & Q5152

KLA Corporation is a leading supplier of wafer processing, process control and yield management solutions for the semiconductor and related nanoelectronics industries. KLA’s products and services are used by bare wafer, IC, reticle and other manufacturers of materials and equipment around the world, from research and development to final volume manufacturing.

Products and services include etch and deposition processes, inline unpatterned and patterned wafer defect inspection, review and classification; reticle defect inspection and metrology; packaging inspection and die sort; critical dimension (CD) metrology; pattern overlay metrology; film thickness, surface topography and composition measurements; measurement of in-chamber process conditions; wafer shape and stress metrology; computational lithography tools; and, overall yield and fab-wide data management and analytics.

Nordson Electronic Solutions, Test & Inspection 

  • Booth Lo800

Equipment for many processes in SMT, PCB assembly, semiconductor packaging & other electronics manufacturing including Dispensing, Plasma, and Test & Inspection Systems will be demonstrated by divisions of Nordson ELECTRONICS SOLUTIONS. Our technologies provide innovative solutions to give customers more control of their operations to produce more reliable products.

 

Lam Research

  • Booth: L0700

Lam Research Corporation is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. As a trusted, collaborative partner to the world’s leading semiconductor companies, Lam combines superior systems engineering capability, technology leadership, and unwavering commitment to customer success to accelerate innovation through enhanced device performance. In fact, today, nearly every advanced chip is built with Lam technology. Its innovative wafer fabrication equipment and services allow chipmakers to build smaller and better performing devices.

Onto Innovation

  • Booth: M0656

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: un-patterned wafer quality; 3D metrology spanning the chip from nanometer-scale transistors to micron-level die-interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging. Its breadth of offerings across the entire semiconductor value chain help customers solve their most difficult yield, device performance, quality, and reliability issues. Onto Innovation strives to optimize customers’ critical path of progress by making them smarter, faster, and more efficient. Headquartered in Wilmington, Massachusetts, Onto Innovation supports customers with a worldwide sales and service organization.

PDF Solutions, Inc.

  • Booth: P5926

PDF Solutions provides comprehensive data solutions designed to empower organizations across the semiconductor ecosystem to improve the yield and quality of their products and operational efficiency for increased profitability. The Company’s products and services are used by Fortune 500 companies across the semiconductor ecosystem to achieve smart manufacturing goals by connecting and controlling equipment, collecting data generated during manufacturing and test operations, and performing advanced analytics and machine learning to enable profitable, high-volume manufacturing.

Plan Optik AG

  • Booth: I2723

Plan Optik AG, a public company from Germany produces wafers from glass, quartz and glass-silicon-compounds. Main products are wafers and process carriers from glass, fused silica and glass-silicon compounds for MEMS, wafer level packaging and semiconductor processing as well as process carriers for semiconductor wafers. Plan Optik’s wafers are machined to very tight thickness tolerance and total thickness variation. Sub-nanometer roughness and materials with adapted coefficient of thermal expansion to semiconductor materials are the main features of these substrates and carriers. All wafers are clean room packed. Diameters from 50 to 300 mm, typical thickness from 100 to 3000 micron. Customized cavities and holes manufactured by wet etching, ultra sonic drilling and sand blasting. Si-glass-compound wafers, micro lens- and conductive via wafers for WLP. Plan Optik has set the standard in respect to high end substrates for the MEMS and semiconductor industry.

Siemens Limited Taiwan

  • Booth: Q5730

Siemens’ earliest presence in Taiwan dates back to 1955 and a representative office was set up in 1970. In 1989, Siemens Limited Taiwan was formally established, and a strategic alliance with the Ministry of Economic Affairs (MoEA) was signed in 1994. Over the past decades, Siemens in Taiwan has been serving as a Trusted Technology Partner for the public and private sectors of Taiwan as well as for many heavyweight and important medium-size companies of nearly all industries.

Taiwan is on its path toward industrial, city infrastructure, energy, and digital transformations. Siemens market-leading technologies and innovations in the areas of Smart Manufacturing for Industrie 4.0, Intelligent Infrastructure for City 4.0, Grid Edges for a sustainable energy future, and Digitalized Mobility are the strongest levers to support Taiwan in its successful transformation and upgrade. The company remains committed as a trusted technology partner to grow Taiwan together with its public and private sectors to accelerate Taiwan’s digital transformation and sustainable net zero development.

SurplusGLOBAL, Inc.

  • Booth: M0834

SurplusGLOBAL is No. 1 in Legacy Semiconductor Equipment Solutions globally providing Integrated Customer Solutions including Sales, Remarketing, Sourcing, Consulting Services and so on. Its team of semiconductor industry veterans have an in-depth knowledge of equipment and processes in the fields of wafer fabrication technology, process engineering, automated test, assembly and logistics.

Main Items: Front-End Semiconductor Thin Film PVD, CVD Diffusion Furnace, implanter Photo Stepper, Scanner, Coater, Developer Etch Echer, Asher, CMP & Wet Bench Metrology CD-SEM, Surfscan, Overlay, Wafer inspection Back-End Semiconductor: ATE: 1.Memory Tester, LCD Driver Tester, SOC Tester, Logic tester, Handler, Prober, 2.Laser repair system Package: 1.Wire bonder, Die Bonder, Dicing saw, Back grinder, Flip chip Bonder SMT: 1.Chip mounter, Screen Printer, Reflow, Auto inserter, Inspection Equipment.

Yield Engineering Systems

  • Booth: L0821

YES is a provider of surface and materials enhancement technology solutions. YES’s high-tech process equipment helps bring to life complex processes that enable Artificial Intelligence (AI), High Performance Computing (HPC), Power Semiconductors, Life Sciences, and Mixed Reality applications.  YES’s highly advanced thermal processing systems, deposition systems, and wet etch and clean technologies play a vital role in the processing of legacy and advanced node silicon wafers, next-generation substrates, wafer and panel level packages, compound semiconductors, Internet of Things (IoT) devices, microLED & miniLED displays, and micro-fluidic consumables for genomics.  With innovative technologies designed to optimize performance and cost of ownership, YES serves as a trusted partner from startups to Fortune 100 companies in a wide range of markets.

FEATURED SPEAKERS

Tuesday, September 5, 2023

Heterogeneous Integration Global Summit 2023 – Day 1

Plasma Etch and Deposition Processes Enabling D2W Hybrid Bonding

Dr. Douglas Macfarlane

KLA Corporation (SPTS Division)

3:15 pm – 3:45 pm

 

MEMS & Sensors Forum

Exploring the Synergy of Nanoimprint Lithography, Meta Lenses, and AR/VR

Dr. Thomas Uhrmann

EV Group (EVG)

2:00 pm – 2:25 pm

 

Addressing High Volume Manufacturing Challenges and Technology Inflections in MEMS for Automotive Applications

Ms. Elpin Goh

Lam Research Corporation

2:25 pm – 2:50 pm

 

Wednesday, September 6, 2023

FLEX Taiwan 2023 Day 2

Flexible System-in-package Platform on Healthcare Monitoring

Dr. Ming-Hung Chen

ASE Inc.

2:20 pm – 2:45 pm

 

CEO Summit

Dr. Tien Wu

ASE Inc.

1:35 pm – 1:55 pm

 

Dr. Anirudh Devgan

Cadence Design Systems, Inc.

1:55 pm – 2:15 pm

 

Keynote: Beyond boundaries: Virtual solutions for the next era of semiconductors

Mr. Tim Archer

Lam Research

2:35 pm – 2:55 pm

 

Thursday, September 7, 2023

Global Auto Chips Executive Summit

EDA Accelerates Smart Vehicle Transformation​

Mr. Danny Perng

Siemens EDA

10:25 am – 10:50 am

 

Heterogeneous Integration Global Summit 2023 – Day 2

Award Presentation

Dr. C.P. Hung

ASE Inc.

Dr. William Chen 

Fellow of ASE Inc.

9:05 am – 9:10 am

 

Keynote

Dr. William Chen, Bill

ASE Inc.

9:10 am – 9:40 am

 

Intelligent System Design for Heterogeneous Integration

Mr. Julian Sun

Cadence Design Systems, Inc.

11:20 am – 11:50 am

 

Recent Advances in Materials for Advanced Packaging

Ms. Rama Puligadda

Brewer Science, Inc.​

11:50 am – 12:20 pm

 

Women in Semiconductor Panel

Thursday, September 7 | 10:00 am – 12:00 pm

Date: Thursday, September 7th, 2023

Time: 10:00-12:00 (10:00-10:30 for registration)

Venue:  Workforce Development Pavilion, Booth# P6000, 1F, TaiNEX 2

Theme: Cultivating the Next Generation of Female Semiconductor Key Talents

 

Panelist:

 

Friday, September 8, 2023

Heterogeneous Integration Global Summit 2023 – Day 3

High Performance Materials for Advanced Packaging

Dr. Habib Hichri

Ajinomoto Fine-Techno USA Corporation

11:10 am – 11:30 am

 

PECVD Solutions for Advanced Packaging and Hybrid Bonding

Dr. Ming Li

Lam Research

11:55 am – 12:15 pm

 

Markets and Challenges for Next Generation Packaging

Ms. E. Jan Vardaman

TechSearch International, Inc.

1:35 pm – 2:00 pm

 

Breaking Boundaries: Exploring Hybrid Chip to Wafer and Wafer to Wafer Bonding for 3DIC Integration

Dr. Thomas Uhrmann

EV Group (EVG)

2:40 pm – 3:00 pm

 

IC Forum

Building the Future

Dr. Vahid Vahedi

Lam Research Corporation

10:05 am – 10:25 am

 

Opening Remarks

Ms. Ariel Liu

Edwards

1:15 pm – 1:20 pm

 

Heterogeneous Integration Enriching Advanced System Development

Dr. C.P. Hung

ASE Inc.

1:20 pm – 1:40 pm

 

Next Generation Logic and Memory Manufacturing by Wafer to Wafer Bonding: A Holistic View

Mr. Paul Lindner

EV Group (EVG)

2:00 pm – 2:20 pm

 

Trine Pierik

Trine Pierik is the 3D InCites community membership director. She is responsible and committed to…

View Trine's posts

AI and VLSI

Jul 16, 2024

What do oxide transistors, ferroelectrics, 2-dimensional channel layers, CFETS, Advanced...

Become a Member

Media Kit

Login