It was an interesting year for the 2024 3D InCites Awards as we changed our processes, criteria, and categories to improve the program. We intended to provide more opportunities for participation across the heterogeneous integration supply chain, and we are happy with the outcome. A special thank you to the Platinum Sponsors of this year’s event – ASE Group, KLA, and EV Group. Without the financial support of these companies, this program would not be possible.

The various judges and committees for the Technology Enablement Awards, Sustainability Award, and Adele Hars Award for DEI have done their due diligence, and the votes for Best Place to Work have been tallied. So, without further ado – I give you the winners of the 2024 3D InCites Awards!

The Technology Enablement Awards

Thank you to our Member Advisory Board: Phil Garrou (, Dean Freeman, Julia Goldstein, Steffen Kröhnert (E-SPAT Consulting), Beth Keser (IMAPS), Jan Vardaman (Techsearch International), Clemens Schütte, and Manuela Junghähnel (Fraunhofer IZM), for putting in so much time establishing the criteria and reviewing the applications to come up with this year’s five winners of the Technology Enablement Awards. They are:

Carl Zeiss Microscopy

Zeiss - 2024 3D InCites Awards FinalistsZeiss has revolutionized 3D X-ray microscopy with AI-powered, non-destructive imaging in its DeepRecon Pro and DeepScout products. DeepRecon Pro enhances thermocompression bonding (TCB) processes in 2.5 and 3D packages, reducing scan times for assessing alignments and accelerating process development cycles. DeepScout extends high-resolution 3D X-ray imaging benefits to reliability testing, construction analysis, and reverse engineering applications.

Multibeam

2024 3D InCites Awards FinalistsMultibeam is addressing the slow cycle times of masked-based lithography technologies with its multi-column E-beam lithography (MEBL) solution. This maskless technology enhances multi-die integration with a large write field, high DoF, ultra-fine resolution, and adaptable writing. MEBL makes it possible to pattern more than 10X larger than current interposers, facilitating wafer-scale integration for processors like HPC, GPUs, and AI engines. With more than 100X DoF improvement over optical lithography, it enables advanced 3D structures and high-resolution interconnects, resulting in a 10x-100x increase in chip-to-chip interconnect bandwidth.

LPKF Laser & Electronics SE

LPKFLPKF  tackled the challenges of achieving precise and reliable through glass vias (TGVs) in glass substrates with its Laser-Induced Deep Etching (LIDE) technology. Using a non-ablative, single-pulse laser-based process, it is possible to achieve high aspect ratio, high-density TGV arrays without stress or microcracks. LIDE is ultra-fast and can create multiple structures in one step. It’s also compatible with a range of glass types, including thin and ultra-thin substrates. LIDE is said to be highly scalable and suitable for mass production.

DECA

DECA is addressing embedded bridge die alignment issues with its Adaptive Pad Stacks. This innovation is expected to deliver an order-of-magnitude increase in the allowable die shift for embedded bridge die in molded fan-out interposers. This will safeguard against yield losses and facilitate the highest density interconnect on more complex devices, including cutting-edge AI processor applications. When integrated with DECA’s technologies such as Adaptive Alignment, Adaptive Routing, and Adaptive Metal Fill, Adaptive Pad Stacks provides a robust and comprehensive solution for foundries, OSATs, IDMs, and other industry players involved in designing and producing the most advanced HI chiplet assemblies of the future.

PulseForge

PulseForge- 2024 3D InCites Awards FinalistsPulseForge offers a solution to the ongoing throughput challenge of wafer debonding, with its Photonic Debonding (PDB)process. PDB uses high-intensity light pulses and a proprietary inorganic light-absorbing layer to efficiently separate temporarily bonded wafer pairs. Operating at room temperature, PDB is particularly advantageous for advanced packaging applications, enabling efficient debonding of ultra-thin wafers during back-end-of-line processing with increased final device yield.

Benefits over traditional laser debonding techniques include lower processing costs, minimal thermal impact, reduced mechanical strain, and ash-free debonding.

The 2024 3D InCites Sustainability Awards and Adele Hars Award for DEI

A big shout out to the Sustainability Award Committee: Dean Freeman, Julia Goldstein, and Mousumi Bhat (SEMI); and the Adele Hars Award for DEI Committee: Margaret Kindling (SEMI), Joanne Itow (SEMICO Research) and Veronique Pequignat (Invest in Grenoble-Alps) for all their efforts to revamp these programs, review the applications and select the winners. Each group set the bar high and put the applicants through a rigorous Q&A process. The final decisions did not come easy, as all the applicants were clearly dedicated to these important initiatives.

2024 Sustainability Award Winner: Brewer Science

Brewer Science has long been an advocate of social and environmental responsibility. The 2021 B Corp certification pushed the company to advance all areas of ESG. Some highlights that helped the committee decide include:

  • Achieving GreenCircle Certified Zero Waste to Landfill for the past eight years
  • Keeping energy consumption constant despite production increases
  • Aiming to reduce Scopes 1 and 2 GHG emissions by 80% by 2030
  • Planning to report on Scope 3 emissions in 2024
  • Expanding education around sustainability and waste reduction to all employees

Winner of the 2024 Adele Hars Award for DEI:  ERS Electronic GmbH

2024 Adele Hars Award for DEI FinalistsOverall, ERS Electronic has shown a strong commitment to diversity, equity, and inclusion. What tipped the scales for the committee was the number of roles held by women in senior leadership, and the active engagement of the CEO and senior leadership in improving the work environment. Other key points include:

  • Dedicated leadership (Equal Opportunities Officer appointed in 2020)
  • Diverse and inclusive Senior Management Team (SMT)
  • A company ethos where an inclusive workplace culture is integral.
  • Recognition that an inclusive workplace requires a collective effort.
  • An environment where all employees feel heard and valued.
  • Agreed upon core values such as fairness, respect, appreciation, and belonging.
  • A safe, open space that encourages growth, empowerment, and continuous self-development.
  • A supportive environment for career advancement.
  • A strong commitment to supporting the community.

The Best Place to Work in Microelectronics

Thanks to all of YOU who cast your votes for our new award – the Best Place to Work Award. In a time where workforce development is a challenge for all, we wanted to shine a spotlight on some of the most desirable workplaces. The winner was determined by the number of votes as a percentage of each company’s total employee base.

Best Place to Work Award Winner – NHanced Semiconductors, Inc.

NHanced Semiconductors captured 66% of its employee base to win this award. Fab Manager, Carl Pettaway submitted the following nomination:

Employees of NHanced are not only introduced to cutting-edge science but actively participate in the development of innovative processes that transform global technologies through shared decision-making and collaborative problem-solving. Opportunities for professional growth are accessible through mentorship, specialized training, and performance-based initiatives.

NHanced is committed to ensuring each employee has the skills, tools, knowledge, and resources needed to succeed. Above-average salaries and a comprehensive benefits package, including health insurance and matching 401(k) contributions, reflect the meaningful and novel influences on the advancement of technology in the semiconductor industry made by employees, whereas a generous paid time off policy supports a healthy work-life balance.

With several locations including Batavia Illinois, near Chicago, Odon Indiana, a rural community with a naval research center, and Morrisville North Carolina, near the Research Triangle Park technology hub, employees find working at NSI to be rewarding and fulfilling, both professionally and personally. No matter the location, opportunities for outdoor recreation, advanced education, and entertainment abound. Our diverse and talented employees are dedicated to building a culture of collaboration and supporting the growth of the semiconductor industry.

Help Us Celebrate the Winners of the 2024 3D InCites Awards In Person

Congratulations to all the winners of the 2024 3D InCites Awards! For the third year in a row, we’ll be presenting the awards at the IMAPS Device Packaging Conference in Fountain Hills AZ. The brief ceremony takes place on March 19, 2024, just after the Keynote talks and before the coffee break. Please stick around and give these folks a round of applause. We’ll be sharing photos from the event on this year’s Winner’s Circle page and featuring the winners in a podcast episode on the 3D InCites Podcast.

Lastly, thanks to all the applicants for your participation. It was a tight race with so many breakthrough technologies, and increased efforts in achieving goals for the semiconductor and microelectronics industry’s sustainability and diversity, equity, and inclusion initiatives. We applaud your efforts.

 

Francoise von Trapp

They call me the “Queen of 3D” because I have been following the course of…

View Francoise's posts

Become a Member

Media Kit

Login