The impact of the ban on selling components to Huawei combined with generally lower shipments for PCs and mobile phones is having an impact on the electronics industry this year. TechSearch International’s latest analysis examines the impact in terms of the OSAT financials and unit shipments. A market forecast for ball grid arrays (BGAs) and chip scale packages (CSPs) in units by package construction is provided. The CSP market is divided into laminate and leadframe (QFN) substrates. Package-on-package (PoP) trends are discussed.

The emerging role of the foundry in providing advanced packaging solutions for the electronics industry is highlighted with an analysis of package offerings and roadmaps for TSMC and Samsung.

The latest Advanced Packaging Update is a 43-page report with full references and an accompanying set of 43 PowerPoint slides.

TechSearch International, Inc., founded in 1987, is a market research leader specializing in technology trends in microelectronics packaging and assembly. Multi- and single-client services encompass technology licensing, strategic planning, and market and technology analysis. TechSearch International professionals have an extensive network of more than 18,000 contacts in North America, Asia, and Europe. For more information, contact TechSearch at tel: 512-372-8887 or see www.techsearchinc.com. Follow us on twitter @Jan_TechSearch

Techsearch International, Inc.

TechSearch International, Inc., founded in 1987, is a market research leader specializing in technology trends…

View Techsearch International, Inc.'s posts

Become a Member

Media Kit

Login