If your company is located in France, and/or is involved in micro and nanotechnologies for microelectronics, chances are it’s either a spin out of Leti, its parent organization CEA, or is closely tied in ongoing collaboration to this major European research center for applied electronics. At least that is the impression I came away with after visiting Leti at its Minatec campus in Grenoble, France, as well as four other companies (Alchimer, Soitec, Replisaurus/S.E.T. Smart Equipment Technology and Tegal France) involved in developing processes, technologies and equipment for the 3D integration market.

Understanding the increasing urgency of time-to-market in this fast-paced electronic world, Leti has carefully shaped its business model to achieve its core mission of creating innovation and transferring it to industry. This mission could be more broadly interpreted, but from what I gathered, it’s more about working only with those proof-of-concept models that are determined to eventually be viable in high- volume production from the very beginning. As an IP integration center, Leti positions itself as a conduit to exchange concepts with academia, develop products in its industrial R&D facilities, and then handle the full technology transfer to volume manufacturing. Additionally, it specializes in working with disruptive technologies.

Some interesting statistics: as of 2008 (2009 numbers are not available yet), Leti operates with a staff of 1,600, (not including assignees from partner companies), and has 300 industrial partners in 30 joint labs. Its patent portfolio totals 1,500, 40% of which are under licensing agreements. Out of 35 start-ups created by Leti, five were in the last two years, and Leti published 750 papers in 2008 alone. Of course, these numbers encompass Leti’s entire research infrastructure that includes an integrative chemistry platform, design, micro-nano electronics at 200 and 300mm, microsystems platform, nanocharacterization platform, and upstream research. All projects begin with real applications in mind that serve the telecommunications and wireless markets; biology and healthcare; energy; environment and transportation; and security, space and defense markets.

Leti’s key accomplishments that would be of interest to those following 3D integration technologies include the Smart Cut technology developed by Leti researchers, André-Jacques Auberton-Hervé and Jean-Michel Lamure, in 1991 that enabled them to spin out Soitec, the world leader in SOI; and the first TSV technology transfer in production for CMOS image sensors, in partnership with STMicroelectronics. Indeed, Leti maintains strong partnerships with both these companies as all three venture deeper into “More than Moore” diversification that incorporates 3D integration, design, characterization, packaging, and advanced substrates into applications such as memories, imagers, microdisplays, photonics, RF components, MEMS and NEMS, and bio and chemical sensors.

3D integration at Leti: a cast of characters

In discussing Leti’s 3D integration program, Nicolas Sillon, lab manager for 3D integration, likes to refer to a 3D toolbox concept, from which customers pick and choose, depending on their application focus. 3D integration development is ultimately shared by several entities at Leti: the heterogeneous integration on silicon department; the packaging and integration lab; the RF components lab, and the thin film and circuit transfer laboratory. Here’s the breakdown of responsibilities and parties involved in each:

Heterogeneous integration on silicon: This department, headed by Claude Massit, boasts a staff of 180 and is charged with the development of non-IC components developed on silicon, such as MEMS, RF MEMS, OLEDS, etc. and solutions for packaging. Sillon explained that most of the 3D toolbox technologies are developed here. Key players here include Andre Rouzaud, deputy manager; Ahmed Jerraya, business development from the design perspective, and Mark Scannel, business development from the technology perspective. Scannel explained that he is not directly attached to any of the labs, but is charged with putting together the 3D program, seeking out resources, looking for overlap of synergies, and conveying requirements.

The packaging and integration lab, managed by Sillon, has 54 staff members dedicated to developing wafer level packaging solutions for MEMS and imagers, and is very active in 3D integration. Sillon notes that roughly 30 people in the lab are working specifically on 3D integration. Leading the charge for 3D integration is David Henry, who explained that his job is to oversee the current 12 projects in this lab to avoid duplication of development, and to link technical management with equipment suppliers.
Amandine Jouve, Brewer Science assignee at Leti, demonstrated the process currently being fine-tuned in their newly equipped 3D integration area of the MEMS fab.

The thin film and circuit transfer lab, headed up by Laurent Clavelier, is staffed by 50, and is involved in molecular bonding and thinning, and specifically the Cu-Cu direct bonding for 3D integration. It was in this lab that I got to initiate the molecular bond between a silicon wafer and a glass carrier wafer.

And finally, part of my visit included a discussion with the team leading the common lab agreement with Replisaurus to develop the electrode master for transferring copper via the electrochemical pattern replication process (ECPR) – the core technology of Replisaurus. The group working on this under the cooperative guidance of Cyril Laviron, Leti’s project manager for the collaboration, and Alan Cuthbertson, VP of mastering technology for Replisaurus, includes three Replisaurus assignees and 6 Leti researchers all focused on producing fab technology for manufacturing masters using standard CMOS processes. The idea is to replace an organic mask with a “smart” silicon template that can be reused 500 times.

This is not the only partnership involving Leti and Replisaurus, as the company’s equipment division, S.E.T., has a long relationship in chip-to-wafer process development, using the company’s FC150 high accuracy die bonder. The next step in that area is achieving speed along with accuracy.

My visit to Leti took up merely one day of a five-day tour. But because it is indeed France’s mother ship for the development of applied electronics, not one company visit went by without mention of some relationship with Leti. Indeed, its reach extends beyond its national borders to the US and Asia, and it offers a nurturing hand to help its partners along the way.

Article sponsored by 

Francoise von Trapp

They call me the “Queen of 3D” because I have been following the course of…

View Francoise's posts

Become a Member

Media Kit

Login