Sustainability, smart technologies, and workforce development will take center stage at SEMICON West 2022 Hybrid, July 12-14 at the Moscone Center in San Francisco. More than 200 industry leaders, visionaries and technology experts will be on hand to network and showcase the industry’s newest technologies and products while sharing insights into the latest trends, innovations, and developments across the microelectronics supply chain.

Set to debut this year is the Global Sustainability Summit, newly established to help drive the collaboration necessary for the semiconductor industry to reduce its carbon footprint. Co-hosted by SEMI and McKinsey & Company, the summit comes as semiconductor manufacturers are increasingly committing to sustainability targets. In parallel, SEMI member companies are joining forces with startups to enable a greener chip industry as part of the SEMI Sustainability Initiative.

Look for Francoise von Trapp at the 3D InCites booth #160 where she will be recording interviews for upcoming podcast episodes live from the show floor. 3D InCites is the Official Podcast of Semicond West. Contact Françoise to learn how your company can be included. 

Other 3D InCites member company activities during SEMICON West include:

Session: SEMICON West Keynotes and Executive Panels

The Value of a Veteran in the Workforce: A Conversation Led by TEL US Chairman Larry Smith

Tuesday, July 12, 2022

1:30pm – 2:20pm

Location: Keynote Stage, North Hall, Room 24

Moderator: Larry Smith

Chairman of the Board
TEL US, TX, United States

Veterans have skills, competencies, and experiences that make them excellent candidates for careers in the microelectronics workforce. However, many veterans are either unaware of the industry or do not have insight into how their skills translate to the quality jobs available to them within microelectronics. At the same time, many companies are eager to hire veterans but are unable to connect with them. As the world’s major chipmakers invest billions of dollars to boost manufacturing capacity, this is an exciting time of great opportunity for people of all walks of life to join the industry. At this session, hear how industry, military, and association partnerships are addressing this talent pipeline opportunity by recognizing, recruiting, and retaining veterans.

Advancing Semiconductors Through Sustainable Materials Solutions

Wednesday, July 13, 2022

11:40am – 12:05pm

Location: Keynote Stage, North Hall, Room 24

Keynote Speaker: Anand Nambiar

EVP, Semiconductor Materials
EMD Electronics, United States

Sustainable development has become an increasingly critical concern for the semiconductor industry, as materials continue to play a vital role in semiconductors breakthroughs, enabling progress in sustainability. In this presentation, Anand Nambiar, Executive Vice President and Global Head of Semiconductor Materials at EMD Electronics, a business of Merck KGaA, Darmstadt, Germany, speaks to how materials manufacturers may best contribute to a sustainable value and supply chains, as well as his organization’s sustainability inspiration, strategy, and tactics.

Elaborating on the company’s inspiration, Anand will detail how the UN’s most recently published Climate Report paints an unsettling backdrop for climate change in the years ahead, reinforcing the company’s motivation for sustainable solutions. The presentation will also cover EMD Electronics’ sustainability strategies, focus areas, goals and commitments, highlighting some of the examples to demonstrate the crucial role materials manufacturers play in the semiconductor supply and value chains.

Member Company Exhibitors:

ClassOne Technology, Booth 1341

Headquartered in Kalispell, Montana, ClassOne Technology has become a preferred provider of advanced wet-chemical wafer processing equipment for ≤200mm semiconductor wafers. The Solstice® series provides high-performance single-wafer electrochemical deposition (ECD) and wafer surface preparation processes. The Solstice platform is available in hardware configurations with two to eight processing chambers to cover process development as well as low-, medium-, and high-volume production. The Trident™ series provides an array of high-efficiency, highly dependable spin-rinse-dryers and spray solvent tools for batch-processing. The company brings high-performance processing technology to the compound semiconductor and other key markets, including photonics, power, 5G, microLED and MEMS. Hundreds of ClassOne tools are presently in use building leading-edge devices in major fabs and research facilities around the world.

CyberOptics, Booth 1639

CyberOptics, the global market leader in wireless semiconductor measurement devices for chamber gapping, leveling, wafer handoff teaching, vibration, airborne particle, relative humidity, and resistance measurements. We will be showcasing the In-Line Particle Sensor™ and our WaferSense and ReticleSense portfolio.

Semiconductor fabs and OEMs value the accuracy, precision, and versatility of the WaferSense and ReticleSense measurement portfolio to enable improvements in fab yields and equipment uptime. CyberOptics will also be showcasing the NanoResolution MRS 3D Sensor, a high-precision optical sensor for inspection, powered by Multi-Reflection Suppression Technology.

EMD Electronics, Booth SM3

EMD Electronics is a business of Merck KGaA, Darmstadt, Germany – a leading science and technology company operating across healthcare, life science, and electronics. With a global presence in 66 countries, a legacy of 350 years, and more than 100 years in the electronic materials business. Today, it offers the broadest portfolio of semiconductor and display materials to advance next-generation electronics.

EV Group (EVG), Booth 935

EV Group (EVG) is a leading supplier of equipment and process solutions for the manufacture of semiconductors, microelectromechanical systems (MEMS), compound semiconductors, power devices, and nanotechnology devices. Key products include wafer bonding, thin-wafer processing, lithography/nanoimprint lithography (NIL), and metrology equipment, as well as photoresist coaters, cleaners, and inspection systems. Founded in 1980, EV Group services and supports an elaborate network of global customers and partners all over the world. More information about EVG is available at www.EVGroup.com.

Evatec, Booth 1350

Evatec delivers complete thin-film production solutions for Advanced Packaging, Semiconductor, Optoelectronics, and Photonics.  Choose from our range of batch, cluster, and inline platform architectures for the deposition of metals, TCOs, piezoelectrics, dielectrics, magnetics, and a whole range of compounds. From Power Devices to MEMS and Wireless our team is ready to deliver tailored production solutions according to your process, throughput, and fab integration requirements. At this year’s Semicon find out the new generation CLUSTERLINE family enables the integration of sputter, etch, PECVD,  PEALD, and evaporation technologies on a single platform making it one of the most flexible production platforms in the industry.  You can also hear how new clustered BAK solutions lead the way in increasing throughout and driving down the cost of ownership in wireless applications.  To find out more simply visit us at booth 1538.

KLA, Booth 744 & 729

KLA Corporation is a leading supplier of process control and yield management solutions for the semiconductor and related nanoelectronics industries. KLA’s products and services are used by bare wafer, IC, reticle, and other manufacturers of materials and equipment around the world, from research and development to final volume manufacturing. Products and services include inline unpatterned and patterned wafer defect inspection, review and classification; reticle defect inspection and metrology; packaging inspection and die sort; critical dimension (CD) metrology; pattern overlay metrology; film thickness, surface topography, and composition measurements; measurement of in-chamber process conditions; wafer shape and stress metrology; computational lithography tools; and, overall yield and fab-wide data management and analytics.

SPTS Technologies, a KLA company, designs, manufactures, sells, and supports advanced etch, PVD, CVD, and MVD wafer processing equipment and solutions for the global semiconductor and micro-device industries, with a focus on the Advanced Packaging, MEMS, high-speed RF device, power management and photonics markets.

Lam Research, Booth WFD5

Lam’s innovative wafer fabrication equipment and services allow chipmakers to build smaller, faster, and better-performing devices. We combine superior systems engineering, technology leadership, a strong values-based culture, and unwavering commitment to customer success to accelerate innovation, enabling our customers to shape the future.

LPKF, Booth 1644

An entirely new market into which LPKF will be penetrating this year is the semiconductor market. As the first link in the electronics production chain, chip manufacturers are setting the pace and pressing ahead with miniaturization. It is becoming increasingly common for electronic components on circuit boards to be arranged one on top of the other rather than next to one another. Multi-chip modules are placing new demands on chip package assemblies and on the materials used.

With the Vitrion 5000, LPKF has introduced a system that can drill ultrafine holes in very thin glass (Through Glass Via). The TGV technology is particularly suitable for economically producing the redistribution layers (interposer) of the multi-chip modules at a very high quality. Consequently, chip manufacturers could switch over from silicon or organic materials to glass. They would thus reduce material costs and improve the performance of multi-chip modules.

MRSI Systems, Booth 2355

MRSI Systems (Mycronic Group) is the leading manufacturer of fully automated, high-speed, high-precision and flexible eutectic and epoxy die bonding systems. We offer solutions for research and development, low-to-medium volume production, and high-volume manufacturing of photonic devices such as lasers, detectors, modulators, AOCs, WDM/EML TO-Cans, Optical transceivers, LiDAR, VR/AR, sensors, and optical imaging products. With 30+ years of industry experience and our worldwide local technical support team, we provide the most effective systems and assembly solutions for all packaging levels including chip-on-wafer (CoW), chip-on-carrier (CoC), PCB, and gold-box packaging. For more information visit www.mrsisystems.com

Onto Innovation, Booth 629

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Un-patterned wafer quality; 3D metrology spanning chip features from nanometer scale transistors to large die interconnects; macro defect inspection of wafers and packages; elemental layer composition; overlay metrology; factory analytics; and lithography for advanced semiconductor packaging.  Onto Innovation will hold customer and investor meetings in the Company’s booth at SEMICON West July 12-14, 2022. The management team attending the show will include Mike Plisinski, chief executive officer; Mark Slicer, chief financial officer; Srini Vedula, senior vice president of customer success; and Mike Rosa, chief marketing officer, as well as various product experts.

Plan Optik, Booth 2029

Plan Optik AG is the leading manufacturer of structured wafers when it comes to technology. In sectors such as consumer electronics, automotive, aerospace, chemistry and pharmaceuticals these wafers are essential components used as active elements for numerous applications in MEMS technology. The wafers of glass, glass-silicon compounds or quartz are available in sizes up to 300 mm diameter. Wafers by Plan Optik provide high-precision surfaces. Plan Optik wafers are available to minimum tolerances with application-specific structuring and complex material combinations.

Siemens Digital Industries Software, Booth SM5 & 2335

Xpedition HDAP Package design, a comprehensive end-to-end solution, from rapid prototyping to GDS signoff, combines the Mentor® Xpedition, HyperLynx®, and Calibre® technologies. The new Mentor IC package design flow delivers faster, higher-quality results compared to existing methodologies and technologies. Mentor will be showcasing Advanced IC Package prototyping and comprehensive verification of 2.5/3D heterogeneous and homogeneous multi-die devices.

SurplusGLOBAL, Booth 1741

SurplusGLOBAL Inc, one of the largest secondary semiconductor equipment traders with proven track records worldwide and currently have several hundreds of equipment in our inventory. www.SurplusGLOBAL.com Service: Secondary Equipment Trading Reconfiguration Refurbishment Remarketing/Auction Rental Valuation Main Items: Front-End Semiconductor Thin Film PVD, CVD Diffusion Furnace, implanter Photo Stepper, Scanner, Coater, Developer Etch Echer, Asher, CMP Wet Wet Bench Metrology CD-SEM, Surfscan, Overlay, Wafer inspection Back-End Semiconductor: ATE: 1. Memory Tester, LCD Driver Tester, SOC Tester, Logic tester, Handler, Prober, 2. Laser repair system Package: 1. Wire bonder, Die Bonder, Dicing saw, Back grinder, Flip-chip Bonder SMT: 1. Chip mounter, Screen Printer, Reflow, Auto inserter, Inspection Equipment

TEL, Booth 951& WFD6

Established in 1963, Tokyo Electron (TEL) is a leading global supplier of innovative semiconductor and flat panel display production equipment. TEL has continuously grown by incorporating new technologies and innovations to meet the demands of an ever-changing world. Product lines include coater/developers, thermal processing systems, plasma etchers, single wafer deposition systems, surface preparation systems, and other equipment supporting the manufacturing and testing of semiconductors. TEL is committed to empowering the newest advancements in technology to improve life.

Veeco, Booth 150

Veeco is an innovative manufacturer of semiconductor process equipment. Our proven ion beam, laser annealing, lithography, MOCVD, and single wafer etch & clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield, and cost of ownership, Veeco holds leading technology positions in the markets we serve. To learn more about Veeco’s systems and service offerings, visit www.veeco.com.

Yield Engineering Systems, Booth 841

For over 40 years, YES has been at the leading edge of material modification and surface enhancement solutions. Starting out as a small company making lab tools, YES has transformed itself into a trusted provider of extremely reliable, high-value high-volume manufacturing equipment. Energized by a new executive team, we are building on our long-standing reputation for reliability and technical expertise. Our systems and solutions are the preferred choice in multiple growing high-tech markets including semiconductors, display, and life sciences.

Its Cure systems, including YES’s flagship Verta- family, use vacuum technology to outgas solvents thoroughly and strengthen bonds for superior reliability and processing speed. The Coat systems — EcoCoat and UltraCoat — transform a surface by applying a layer just one molecule thick. The Clean systems use gentle or aggressive plasma to prepare substrates for processing. Its versatile VertaBond offers low-temp direct bonding and high-temp vacuum annealing in a single production-proven system.

 

Trine Pierik

Trine Pierik is the 3D InCites community membership director. She is responsible and committed to…

View Trine's posts

Become a Member

Media Kit

Login