At Semicon West in July there was a great deal of discussion that Moore’s Law was dead and would likely not be revived.  Fast Forward to September  at Semicon Taiwan, and the CEO of TSMC, Mark Lui announced that TSMC believes that Moore’s law is very much alive. Dr. Lui stated that Moore’s and will continue for another few generations thanks to developments in advanced process technolgy such as  as nano-sheets, or Gate All Around Transistors in 3D FinFETS that are  expected to emerge between the 5-3nm technology nodes.

At Hot Chips and then later at Semicon Taiwan TSMC announced they are getting ready to start 5nm risk production later in 2019 or early in 2020, having just started high volume production on 7nm this past year. TSMC also announced they are initiating 3nm research and development and expect to start 3nm risk production in 2021 or 2022. TSMC also believes that they could potentially reach a 1nm technology mode in the distance future.   This sure sounds like the transistor is continuing to shrink and potentially doubling per unit area every 2 years which is the basic premise of Moore’s Law.

Figure 1 TSMC Logic Road Map. Source TSMC

Earlier in the year at Semicon west the statements by executives were a bit mixed during the Artificial Intelligence (AI) seminar, the CEO of Xylinx, Victor Peng believed that Moore’s Law is dead.  Lisa Su the CEO of AMD, being a process engineer at heart still thinks it might be alive and was not yet willing to pronounce it dead.  With the progress that AMD has made under Dr. Su and the recent release of their 7nm CPU she still has a good case for claiming Moore’s law to be alive.  And as a TSMC customer AMD will likely continue to use TSMC’s leading edge technology for future release.  The naysayers cited the end of Dennard scaling, and the fact that power and performance improvements no longer improve by 2X each shrink. As a side note the Robert Swan, the CEO of Intel, stated at the Fortune Brainstorm Tech Conference in July, that at 10nm Intel’s upcoming shrink Intel has been able to increase scaling by 2.7X, which is one of the reasons for the delay in Intel releasing 7nm

The proponents of Moore’s Law keep citing that improvements in process technology will enable Moore’s law to continue from a shrinking prospective for as TSMC believes 3 more generations.

Supporting the continuation of Moore’s Law Akihisa Sekiguchi  of Tokyo Electron presented the graphic, sourced from Ray Kurzweil,  below demonstrating the longevity of Moore’s Law since the 1900’s.  As this graphic transition from mechanical switches, to vacuum tubes to transistors, he suggested there is a high probability of new technologies continuing to move Moore’s Law forward, potentially even past the 1nm node.

Figure 1. Evolution of computer power per fixed cost. Source TEL CORP IR files.  Presented at Semicon west TEL investors briefing.

The current roadmap to 3nm are using wrap around or all-around gates, which are sometimes considered nanosheets. The nanosheets in combination with finFET technology creates intricate 3D structures for the transistors.

Figure 2. IBM 5nm wrap around Transistors as shown at the VLSI conference in 2017.

Source ee news analog

 

This technology should get the IC industry though 5nm and possibly the 3nm technology. It is potentially possible for silicon nanosheets to push the industry to 1 nm, but to see the power and performance improvements new materials may be required as the gate materials.

MIT recently created a successful Logic device using carbon nano-tubes as the gate material.  It took a significant amount of perseverance to make this work, and there will be significant challenges to make this technology work in production.  Graphene and other 2D materials such as CoSi are showing promise as potential gate replacements. Graphene has its difficulties with having to create a work function in the material so it can act as a gate material.  CoSi is still in early development, but by the time 1nm comes to fruition enough work may have been completed that it could be a possible candidate as a future gate material.

So, as the industry works to keep Moore’s Law moving forward, there is considerable work on 3D structures and materials to keep the industry moving forward, and potentially past the 1nm mark as the TEL slide suggests.

Dean Freeman

Dean W. Freeman, Chief Analyst at FTMA, has over 36 years of semiconductor manufacturing and…

View Dean Freeman's posts

Become a Member

Media Kit

Login