We presume all are excited about the upcoming SEMICON West show. I know we sure are! Be sure to stop by the 3D InCites booth #731 and say hi or if you are walking by the SEMI booth Francoise may be in action recording a Podcast, acting as the official Podcast for the show, or she could catch you at your booth for an impromptu interview. Our community members will be in action too. Let’s see what they will be up to…

CyberOptics, Booth 1639

CyberOptics is the global market leader in wireless semiconductor measurement devices for chamber gapping, leveling, wafer handoff teaching, vibration, airborne particle, relative humidity, and resistance measurements. The company will be showcasing the In-Line Particle Sensor™ and WaferSense and ReticleSense portfolio.

Semiconductor fabs and OEMs value the accuracy, precision, and versatility of the WaferSense and ReticleSense measurement portfolio to enable improvements in fab yields and equipment uptime.

CyberOptics will also be showcasing the NanoResolution MRS 3D Sensor, a high-precision optical sensor for inspection, powered by Multi-Reflection Suppression Technology.

EV Group, Booth 445

EV Group will be showcasing its EVG320 D2W, a highly flexible platform that features a universal hardware/software interface to enable seamless integration with third-party pick-and-place die bonding systems. It also can operate as a stand-alone system depending on integration and line balancing requirements. The system incorporates EVG’s advanced cleaning and plasma activation technology, which is available across its industry-standard W2W fusion and hybrid bonding platforms and has been proven in hundreds of installed modules worldwide.

Additionally, EVG’s GEMINI FB XT integrated fusion bonding system extends current standards and combines higher productivity with improved alignment and overlay accuracy for applications such as memory stacking, 3D systems on chip (SoC), backside illuminated CMOS image sensor stacking, and die partitioning. The system features the new SmartView NT3 bond aligner, developed specifically for fusion and hybrid wafer bonding alignment requirements of < 50 nm.

Evatec, Booth 1538

Find out more about the new generation CLUSTERLINE family which enables integration of sputter, etch, PECVD, PEALD and evaporation technologies on a single platform, making it one of the most flexible production platforms in the industry. You can also hear how new clustered BAK solutions lead the way in increasing throughput and driving down the cost of ownership in wireless applications.

Gel-Pak, Booth 6061

Gel-Pak manufactures a line of proprietary Gel and elastomer coated device carriers and handling materials that offer solutions for applications where damage during handling must be avoided. The company’s unique elastomer technology serves as the basis of its Gel-Box™, Gel-Tray®, Gel-Film®, and patented Vacuum Release (VR) products. These products effectively immobilize devices during shipping and handling.

Gel-Pak will showcase its new Polyurethane Device Carrier, Texturized Film Device Carrier and LCS2 Lid/Clip system at Semicon West. Gel-Pak’s new Polyurethane Device Carriers look and function similar to the market-leading Gel-Box, Gel-Tray, and Vacuum Release Tray products, but with an alternative elastomer technology. The proprietary polyurethane material is both static dissipative and non-silicone. The new LCS2 Lid/Clip Super System, developed in partnership BAE Systems, prevents thin semiconductor die from migrating out of the pockets of waffle pack/chip trays during shipping and handling.

KLA, Booth 744

SPTS Technologies, A KLA Company, Booth 827

KLA Corporation is a leading supplier of process control and yield management solutions for the semiconductor and related nanoelectronics industries. KLA’s products and services are used by bare wafer, IC, reticle and other manufacturers of materials and equipment around the world, from research and development to final volume manufacturing.

Rick Wallace, President and CEO of KLA will deliver a keynote on:

Advancing Connection: The Future of Collaboration

Wednesday, December 8 | 9:05 am – 9:35 am

Keynote Stage, Moscone North, Lower Level, Room 24

Work in the semiconductor industry had major inflection points triggered by the pandemic in 2020. The industry is experiencing tremendous growth and the success and satisfaction of our employees is the lifeblood of our long-term ability to continue to deliver and innovate. Navigating life through COVID-19 forced us all to prioritize and rethink how we work and what the future looks like.

 

 

LAM Research, Booth 3318

Want to drive semiconductor breakthroughs that define the next generation? Lam Research is hiring, Stop by the Workforce Development (WFD) Pavilion to meet with the recruitment team. Additionally, Tim Archer and Antoinette Hamilton will lead a discussion on DEI within the semiconductor industry.

Building the Workforce of the Future: The DEI Imperative

Wednesday, December 8 | 2:30 pm – 3:30 pm

Keynote Stage, Moscone North, Lower Level, Room 24

Tim Archer, President and Chief Executive Officer Lam Research, Keynote

Antoinette Hamilton, Global Head of Inclusion & Diversity Lam Research, Panelist

As global demand for semiconductors continues to surge, the need for a robust talent pipeline has never been more critical. Unlocking new levels of innovation requires investing in inclusion and diversity to attract, develop, and retain talent. However, even as many companies are making commitments to increase equity in the workplace, more work is needed to close the representation gap.

In this session, Lam Research President and CEO Tim Archer will discuss the criticality of diversity, equity, and inclusion to the semiconductor industry as it seeks to scale production to meet demand and innovate for the future. A panel of esteemed industry experts assembled by Lam will then address the unique and persistent hurdles of closing the representation gap, sharing ideas and best practices for how investing in DEI can help semiconductor industry leaders to cultivate a thriving global workforce and fuel their future talent pipeline.

Onto Innovation, Booth 627

Onto Innovation is a leader in process control, combining global scale with an expanded portfolio of leading-edge technologies that include: Unpatterned wafer quality; 3D metrology spanning chip features from nanometer-scale transistors to large die interconnects; macro defect inspection of wafers and packages; metal interconnect composition; factory analytics; and lithography for advanced semiconductor packaging.

 

Plan Optik, Booth 1327

Plan Optik AG is the leading manufacturer of patterned wafers when it comes to technology. In sectors, such as consumer electronics, automotive, aerospace, chemistry and pharmaceuticals these wafers are essential components for numerous applications in MEMS and Sensor technologies. The wafers of glass, glass-silicon compounds or quartz are available in sizes up to 300 mm diameter. Wafers by Plan Optik provide high-precision surfaces in the ångström range, which are achieved by using the MDF polishing process developed by the company.

As a brand of Plan Optik, Wafer Universe, offers a wide range of high-quality wafers from Glass and Quartz, available off the shelf. At Wafer Universe you will find a wide selection of various sized wafers in different diameters and thicknesses as well as materials, including borosilicate wafers (with regular or enhanced MDF polishing), alkaline free glass wafers and quartz wafers (semiconductor grade quartz).

 

SurplusGLOBAL, Booth 239

SurplusGLOBAL is one of the world’s largest one-stop platform for pre-owned semiconductor equipment that leads the market with rich marketing experience since 2000. The company provides optimized solutions to customers in terms of used semiconductor equipment by providing a related business platform which includes purchasing, selling, valuation, refurbishing, fab consulting regarding used semiconductor equipment, semiconductor equipment clusters, and so on.

 

Veeco Instruments, Booth 242

Veeco is an innovative manufacturer of semiconductor process equipment. Its proven ion beam, laser annealing, lithography, MOCVD, and single wafer etch and clean technologies play an integral role in the fabrication and packaging of advanced semiconductor devices. With equipment designed to optimize performance, yield and cost of ownership, Veeco holds leading technology positions in the markets it serves. Its GENxplor® R&D MBE System is used by leading researchers and has been honored with the CSindustry Award for compound semiconductor manufacturing. The system is chosen for its cutting-edge performance in application areas such as high-efficiency solar cell and high-temperature semiconductors.

 

Yield Engineering Systems, Booth 532

For over 40 years, YES has been at the leading edge of material modification and surface enhancement solutions. Starting out as a small company making lab tools, YES has transformed itself into a trusted provider of extremely reliable, high-value high-volume manufacturing equipment. Its systems and solutions are the preferred choice in multiple growing high-tech markets including semiconductors, display and life sciences.

Its Cure systems, including YES’s flagship Verta- family, use vacuum technology to outgas solvents thoroughly and strengthen bonds for superior reliability and processing speed. The Coat systems — EcoCoat and UltraCoat — transform a surface by applying a layer just one molecule thick. Clean systems use gentle or aggressive plasma to prepare substrates for processing, and its versatile VertaBond offers low-temp direct bonding and high-temp vacuum annealing in a single production-proven system.

 

Trine Pierik

Trine Pierik is the 3D InCites community membership director. She is responsible and committed to…

View Trine's posts

Become a Member

Media Kit

Login