In an ongoing effort to drive 3D IC integration, SEMATECH’s 3D Interconnect program has completed its 300mm 3D IC pilot line, operating at the College of Nanoscale Science and Engineering’s (CNSE) Albany NanoTech Complex. Dedicated to via-mid 3D applications, SEMATECH’s development and exploratory platform includes all processes and test vehicles necessary to demonstrate the viability of the via-mid technology in conjunction with advanced CMOS.

“Our mission is to make 3D through-silicon via (TSV) both manufacturable and affordable. We will prove its very real advantages over conventional, two-dimensional designs — especially in increased functionality and performance,” said Sitaram Arkalgud director of 3D Interconnect at SEMATECH. “The completion of our 300mm R&D line is a significant step towards demonstrating technology solutions for TSV high-volume manufacturing.”

Based on 5×50µm TSVs, the processes include TSV formation and metallization, wafer and die alignment, bonding, thinning, and the necessary metrology for these integration sequences. Supported by the conventional CMOS processing capabilities of CNSE, SEMATECH researchers are working jointly with chipmakers, equipment and materials suppliers, and universities on device interactions for fabrication at the 65nm node for planar and future scaling to 30nm for planar and non-planar CMOS technologies.

“The integration of the 3D Interconnect pilot line by SEMATECH at CNSE’s Albany NanoTech Complex further enhances the leading-edge research and development capabilities at the UAlbany NanoCollege,” said Richard Brilla, vice president of strategy, alliances and consortia at CNSE. “This marks another critical step forward in accelerating advanced manufacturing for innovative nanoelectronics technologies.”

Arkalgud added, “Our program provides our members with access to complete 300mm R&D capability in 3D, allowing them to evaluate tools, process modules and even integration sequences in a realistic setting. Moreover, SEMATECH is playing a strategic role in working with the industry to drive manufacturability and forge consensus on technology options, standards, and cost modeling.”

SEMATECH’S 3D program has been actively engaging with leading edge equipment and materials suppliers and leveraging their expertise to deliver manufacturable process solutions. During 2009, the program began its considerable expansion, including developing and demonstrating 300mm tooling, materials, and process module solutions necessary for 3D TSV manufacturing for 300mm wafers in 2012 and beyond.

In addition, SEMATECH’s 3D program is developing a reference flow which contains the critical elements of interest in processing and metrology for its members. The use of a common reference flow will help drive consensus among members and the industry, and lend validity to a cost model.

Francoise von Trapp

They call me the “Queen of 3D” because I have been following the course of…

View Francoise's posts

Become a Member

Media Kit

Login