2020 3D InCites Awards Vote

Cast Your Vote for the 2020 3D InCites Awards! 

The 2020 3D InCites Awards program recognizes industry-wide contributions in the development of heterogeneous integration technologies. The event is hosted in conjunction with the International Microelectronics and Packaging Society during the IMAPS International Device Packaging Conference.

Winners of the 2020 3D InCites Awards will be selected by a panel of five judges: four are industry experts and the fifth judge is YOU, the 3D InCites Community, via this online ballot. The voting begins on February 3 and ends February 13, 2020. Winners will be announced on Friday, February 14, 2020. Awards will be presented in a ceremony during the IMAPS Device Packaging Conference in Fountain Hills, AZ (March 2-5, 2020)

The Rules:

  • The nominees are listed below by category. You can learn more about them here
  • Please vote for one per category.
  • You may vote one time in a 24-hour period.
  • Voting closes midnight, PST, Thursday. February 13, 2020.

 

This poll has ended (since 4 years).
Design Tool Provider of the Year
zGlue / ChipBuilder 3.0
38.36%
Coventor, a Lam Research Company - SEMulator 3D
36.71%
ANSYS
24.93%
Device Manufacturer of the Year
OmniVision Technologies, Inc.
40.21%
ASE Group
30.12%
Nepes
15.55%
StratEdge
14.11%
Device Technology of the Year
TSMC's SoIC™
33.25%
SmartSens - SC132GS (Metis)
25.19%
OmniVision’s OX08A and OX08B Automotive Image Sensors
18.42%
3D Integration For HPC & AI, CEA-Leti
14.13%
Co-EMIB (Intel EMIB and Foveros combined)
9.01%
Engineer of the Year
Dr. Doug Yu, Vice President of Integrated Interconnect and Packaging, TSMC
31.36%
Beth Keser and Steffen Kröhnert, Co Authors, Advancements in Embedded and FOWLP Technologies
30.37%
Laura Mirkarimi, Xperi
17.61%
Annette Teng, Ph.D., CTO, Promex
11.26%
Bill Chen, Chair, HIR and Fellow, ASE Group
9.40%
Equipment Supplier of the Year
EV Group (SmartView® NT3 Automated Bond Alignment System)
43.82%
ERS electronic GmbH
41.66%
Onto Innovation Inc.
14.52%
Materials Supplier of the Year
Brewer Science
38.00%
Plan Optik AG
35.47%
Atotech Group
26.53%
Process of the Year
Dielectric-core-based HAR Thru-Package-Vias forming using 3D-RDL, 3DiS Technologies
27.92%
DBI® Ultra, Xperi
18.17%
Cu-Interposer Technology, Plan Optik
17.33%
Quazi-Zero Die Shift, Brewer Science
17.15%
ChipInFlex: Wafer Level Integration Of Thin Silicon Bare Dies Within Flexible Label, CEA - Leti
13.00%
Vacuum Cure, YES
6.44%
Research Institute of the Year
Fraunhofer Institute for Electronic Nano Systems ENAS, Chemnitz, Germany
47.40%
UCLA Center for Heterogeneous Integration and Performance Scaling
27.90%
CEA-Leti
24.70%
Startup of the Year
Sensry GmbH, Dresden, Germany
41.49%
SVXR
21.71%
FLICQ
20.90%
Rohinni LLC
15.89%

Spread the word! After you vote, download these “I voted” stickers and share them on Instagram, LinkedIn and Twitter.

 

Instagram

 

 

 

 

LinkedIn

 

 

 

 

Twitter