Despite capacity increases this year, and additional plans through 2025, demand for FC-BGA substrates is outstripping supply. What’s driving the insatiable demand? Larger substrates are required to support high-performance applications including silicon interposers larger than reticle size and large-area fan-out. The adoption of chiplets requires a complex substrate. With 7, 8, 9, or 10 build-up layers required on each side, more manufacturing capacity is needed to meet package demand for servers, AI, and network switching applications. TechSearch International quantifies the gap between manufacturing demand and capacity and shows it will continue through 2025. The report explores new package and substrate developments, including RDL interposers and glass.

The new Advanced Packaging Update covers the latest developments in co-packaged optics. The report also discusses continued semiconductor shortages and OSAT financials. IC package substrate design rules for major suppliers are included in the report.

The latest APU is a 97-page report with full references and an accompanying set of 50 PowerPoint slides.

TechSearch International, Inc., founded in 1987, is a market research leader specializing in technology trends in microelectronics packaging and assembly. Multi- and single-client services encompass technology licensing, strategic planning, and market and technology analysis. TechSearch International professionals have an extensive network of more than 18,000 contacts in North America, Asia, and Europe. For more information, contact TechSearch at tel: 512-372-8887 or see www.techsearchinc.com. Follow us on twitter @Jan_TechSearch and on LinkedIn.

Techsearch International, Inc.

TechSearch International, Inc., founded in 1987, is a market research leader specializing in technology trends…

View Techsearch International, Inc.'s posts

Become a Member

Media Kit

Login