Accomplishment

SPTS Technologies provides plasma etch and deposition solutions that have enabled many of the recent advances in 2.5D/ 3D integration, and continually promotes advanced packaging technologies through active participation in industry consortia and events. Working closely with renowned research institutes and production customers around the world, including leading device manufacturers, foundries and OSATs, SPTS plays a leading role in delivering wafer processing technologies for today’s HVM manufacturers and for the developers of tomorrow’s solutions. SPTS’s deep silicon etch expertise for through-silicon via (TSV) etching offers market-leading etch rates and low tilt. The same hardware carries unique end-point technology to control TSV reveal in volume manufacture, and is also being used by imec for extreme wafer thinning on future multi-stack applications. We also offer low temperature PECVD for dielectric deposition onto standard, bonded or fan-out wafers, and most recently our Sigma® PVD system with an integrated multi-wafer degas and specially designed pre-clean module has been instrumental in enabling the industry-wide transition of FOWLP from a niche packaging technique for small devices to a mainstream high density architecture for high value chips.