technology license agreement with sk hynix.

Accomplishment

DBI® Ultra, a revolutionary, low temperature die-to-wafer and die-to-die hybrid bonding process, that enables new era of 3D integration that was not possible before. Among a wide range of applications, DBI Ultra makes it possible to manufacture 4-, 8-, 12- and 16-high High Bandwidth Memory stacks while meeting the demanding packaging height and performance requirements for next generation, high-performance computing. By eliminating the need for copper pillars and underfill, DBI Ultra can enable a dramatically thinner stack than conventional approaches. DBI Ultra also allows the stacking of known good die that are the same or different sizes, processed on fine or coarse wafer process technology nodes, or manufactured on the same or different wafer sizes while readily scaling down to 1 um interconnect pitch. DBI Ultra is suitable for 3D stacked memory, such as 3DS DRAM as well as 2.5D and 3D applications requiring the integration of memory with CPUs, GPUs, FPGAs, or SoCs.