Accomplishment

Laura Mirkarimi, VP 3D Portfolio and Technologies, Xperi led the team that successfully developed Xperi DBI Ultra, which the same elegant, room-temperature bonding approach as wafer-to-wafer (W2W) DBI, with the added benefit of being both die-to-wafer (D2W) and die-to-die (D2D) processes. It can be used in high volume manufacturing to stack memory, and also integrate that memory with sensors and logic in a 2.5D or 3D system-in-package (SiP).