3D Topics

SEMICON China 2024

SEMICON China 2024 Community Member Preview

SEMICON China 2024 takes place March 20-22 at the Shanghai New International Expo Centre. This year’s event is expected to draw the attention of the global semiconductor supply chain as the country continues considerable capacity expansion to increase its share of global semiconductor capacity. According to the SEMI Year-End Total Semiconductor...

February member highlights

February Member Highlights – Acquisitions, Awards, Events, New Offerings and More

The 3D InCites community members continue to create a buzz with announcements from new acquisitions to industry awards, new product introductions, events, job openings, and more. Here’s a collection of February member highlights. Acquisitions, Partnerships and Collaborations ASE announced a strategic partnership with Infineon Technologies, to acquire two back-end manufacturing...

IFTLE 585: Lincoln Labs Chiplet Technology for ELAICs

The February issue of Chip Scale Review contained an interesting article entitled “Heterogeneous Chiplet Integration to Make Megachips” authored by members of the advanced technology division of MIT’s Lincoln Labs. The work had previously been shown at the 2023 IEEE ECTC. While I don’t see anything revolutionary about their technology...

the ERS workforce enjoying an annual party.

Fueling the Workforce Through Investment and Engagement

It is an exciting time to be an equipment manufacturer in the European semiconductor industry. There is still a lot of buzz around the EU Chips Act and companies like Intel, TSMC, and GlobalFoundries & STMicroelectronics have announced huge investments in Europe over the next few years. However, Europe is...

Rapid Development and Optimization of Thermal Management Solutions for Advanced Semiconductor Packaging

As the power density increases for semiconductor devices, thermal management is becoming an ever more critical challenge for reliability and performance, for high-performance computing, AI, data center, power electronics, and other applications. Heat dissipation is often a great concern as the junction temperature rises with an increased power density, which...

Semiconductor Device Test

The Year in Semiconductor Device Test

Is semiconductor device test non-value added? Certainly, some aspects are unchanging. Test is one of the three means to guarantee parts in addition to characterization and the design itself. Given the market backdrop – across automotive, computing, and advanced packaging – new test challenges and value adds have emerged. Three...

IFTLE 583: DoD funding for U.S. Based Substrate Manufacturing

IFTLE has spent significant time discussing the lack of U.S.-based substrate manufacturing. Although packages such as the ball-grid array (BGA) were invented by U.S. companies like Motorola, production capacity was all off-shored to Asia years ago. Having competent U.S. production capacity has always been part of the US reshoring program....

Fostering Innovation from Within

As many organizations in the industry are grappling for external resources, Onto Innovation has expertly chosen to look inward. We recognize the unmatched talent that exists at our company already and have implemented a course of action that directly taps into that. Our employees continuously push the boundaries of innovation,...

Announcing the Winners of the 2024 3D InCites Awards

It was an interesting year for the 2024 3D InCites Awards as we changed our processes, criteria, and categories to improve the program. We intended to provide more opportunities for participation across the heterogeneous integration supply chain, and we are happy with the outcome. A special thank you to the...

January Member Achievements, New Hires and Grand Openings

Members of the 3D InCites community hit the year running by celebrating milestones, new hires, promotions, and grand openings. Here are some highlights that caught our attention. Amkor Technology, Inc. hosted DesignCon2024 at the Santa Clara Convention Center in Santa Clara, CA Among the speakers was Amkor’s Ruben Fuentes, VP...

IFTLE 582: SK hynix Looks at the Future of Memory Packaging for AI

At this year’s IEEE International Electron Device Meeting, [IEDM] (December in San Francisco) SK hynix gave an interesting look at “Advanced Packaging Technology in Memory Applications for Future Generative AI Era”. [Generative AI creates new content through the use of machine learning models such as generative adversarial networks. Such frameworks...

Semiconductor Cycle

The Semiconductor Cycle: Looking Into the Future

“It ain’t over till it’s over.” is a frequently used Yogi Berra saying. The current semiconductor cycle has that feeling. While for some parts it appears to be over, for other parts it looks like most segments are at the bottom, and there are no strong growth indicators for the...

ESG - solar bed

ESG Resolutions

Happy 2024! For many the start of the New Year includes resolutions or goals to try to accomplish throughout the year. From a sustainability standpoint, this means that the ESG teams are collecting the data from 2023 to determine if they achieved what they had published in their 2022 sustainability...

A conversation with SEMI's Ajit Manocha

Leading The Charge to One Trillion Dollars

A Conversation About Leadership with SEMI CEO Ajit Manocha For the past few years, we’ve heard semiconductor market analysts prognosticate that based on estimated demand, semiconductors have the potential to become a $1Trillion industry in the next 7-10 years. This number is based on analysts’ projections of driving markets including...

Figure 3: High-speed wafer transfer via the Trymax equipment front-end module.

When Plasma Matters: Three Reasons to Choose Plasma

Every metal layer on a wafer, from M1 at the front end to redistribution for wafer-level packaging (WLP), requires patterning. Selective material removal, including etching oxides and metals, often becomes the critical path. It is essential to thoroughly strip photoresist and minimize contamination to achieve the desired yield. Plasma etching...

Semiconductor Sustainability

Are We Moving Fast Enough on Semiconductor Sustainability?

We continue to deal with a paradox: semiconductor chips are necessary to support digitalization and society’s transition to lower carbon power and transportation. At the same time, semiconductor manufacturing is resource- and energy-intensive. Efficiency improvements are one part of the solution, but they can only take us so far. There’s...