First system qualified at power semiconductor manufacturer in China

FREMONT, Calif., Nov. 11, 2022 (GLOBE NEWSWIRE) — ACM Research, Inc. (ACM) (NASDAQ: ACMR), a leading supplier of wafer processing solutions for semiconductor and advanced wafer-level packaging (WLP) applications, today announced that it has expanded its Ultra C pr product offering to include metal lift-off (MLO) capabilities for power semiconductor manufacturing and wafer level packaging (WLP) applications. MLO can be used to save an etch process step, reducing cost, optimizing cycle times and sharply reducing chemical demand at high temperatures. The company also announced that the first MLO-capable Ultra C pr tool has been qualified and released to mass production at a power semiconductor manufacturer in China.

“ACM is committed to strengthen its position as a multi-product company, and we continue to extend our product offering to new opportunities beyond cleaning,” said Dr. David Wang, ACM’s President and Chief Executive Officer. “Our Ultra C pr tool has already achieved broad customer adoption due to its photoresist stripping capabilities. With MLO, our Ultra C pr tool now supports the lifting of metals off the photoresist, and the removal of any excess metals or residuals. We are excited with the successful qualification of ACM’s first Ultra pr tool with MLO capabilities, as an initial validation of the technology in a production environment.”

ACM addresses the complexities of the MLO application by leveraging the Ultra C pr’s unique combination of wet bench and single wafer manufacturing technology to deliver the high throughput of a batch tool as well as the superior removal performance of a single-chamber tool. It also features a dual filter system ensure optimal cleanliness during manufacturing. In addition, ACM SAPS megasonic technology can be configured for MLO to enhance cleaning performance for patterned or structured wafers.

About ACM Research, Inc.
ACM develops, manufactures and sells semiconductor process equipment for single-wafer or batch wet cleaning, electroplating, stress-free polishing and vertical furnace processes, which are critical to advanced semiconductor device manufacturing and wafer-level packaging. The company is committed to delivering customized, high-performance, cost-effective process solutions that semiconductor manufacturers can use in numerous manufacturing steps to improve productivity and product yield. For more information, visit www.acmrcsh.com.

ACM Research

Founded in 1998 in Silicon Valley, ACM Research, Inc. develops wet processing technology and products…

View ACM Research's posts

Become a Member

Media Kit

Login