Design

Courtesy of Cadence - 3D By Design

3D By Design: A Blog By and For the 3D Design Community

Earlier this year, I published an open letter to chip and system-level designers regarding 3D integration, suggesting they consider 3D integration technologies as a solution to dealing with the increasing complexity of SoC designs. The post was inspired by my attendance at the Design and Test Europe (DATE 2014) conference,...

TSV MEOL Process Flow for Mobile 3D IC Stacking

Moore’s law is approaching physical limitations of CMOS scaling, and three dimensional (3D) integration technologies have been proposed as solutions. Wide band transmission between logic and memory is becoming indispensable for not only mobile products, but also other products related to network systems such as servers and data centers. These...

E-System Design: Sphinx 3D Path Finder (“3DPF”) V3.0

The Sphinx 3D Path Finder (3DPF) is an exploration tool enabling fast test case creation and analysis for silicon and glass interposers containing: solder balls, pillars, bond wires, TSV/TSG as well as RDL interconnect structures. E-System Design: Sphinx 3D Path Finder (“3DPF”) V3.0 All based upon a patented simulation engine with the...

Making Progress with 3D IC Design and Test

Thank you, Ann Steffora Mutschler (Semiconductor Engineering) for getting to the bottom of the difference of EDA tools for  2.5D and 3D IC design and test, and providing such a clear explanation in your post, “Evolution vs. Revolution”. In this 2-part post, Mutschler explores the EDA vendor arguments that “tool...

3D IC Design: Outlook for 2014

To date we at Mentor Graphics have seen a handful of 3D IC design releases, and even more customer evaluations. However, the predominant driver seems to be a desire to understand the space in case their company elects to move into the space. In general, the perception seems to be...

2.5D Interposer Innovations from Silex and eSilicon

2.5D interposers sparked a good amount of discussion at this year’s 3D ASIP conference (December 11-13, 2013, Burlingame CA), with a session devoted to “Interposers for all of Us” and other presentations scattered throughout the program to address such issues as thin wafer handling, and heterogeneous integration. Weighing in on...

Momentum Builds for the 2013 3D InCites Awards

Subscribers are practically blowing up 3D InCites as they duke it out online and race the  July 3rd deadline for casting their ballots in the public opinion poll for this inaugural 3D InCites Awards; which is great because the first 3D InCites Awards Breakfast, co-presented by 3D InCites and TechSearch...

Synopsys: Galaxy Implementation Platform

Product Description Synopsys’ Galaxy™ Implementation Platform is the industry’s leading solution for IC implementation and signoff. Now available with powerful automation for multi-die implementation and foundry certified design flows, Galaxy provides a silicon-proven path to successful implementation of 3D-IC stacked die and silicon-interposer based 2.5D systems. Testimonial Following collaborations with...

Cielution: CielSpot, CielSpot-CTM, CielMech

Product Description CielSpot, CielSpot-CTM and CielMech are thermal and mechanical simulation Software As A Service (SAAS) products based on Cielution’s innovative Cloud based collaboration platform. Users define 3D IC stacking, process and power distribution details at the Cielution online portal to implement complex, but necessary design methodologies with minimal effort....

SavanSys Solutions: 2.5D & 3D Packaging Cost Model

Product Description The 2.5D & 3D Packaging Cost Model is the first tool available to model the total cost and yield from fabrication of the wafers to complete assembly. The user is able to edit a variety of parameters, including TSV and interposer characteristics and die preparation details, and generate...

Apache Design: RedHawk-3DX

Product Description Apache Design’s fourth-generation RedHawk™-3DX simulation software technology extends previous generations’ capabilities to address sub-20 nanometer (nm) designs with 3+ gigahertz performance and billions of gates. It is also architected to support the simulation of emerging chip and packaging technologies using multi-die three-dimensional ICs (3D-ICs) for smart electronic products....